由买买提看人间百态

topics

全部话题 - 话题: module
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)
n*****2
发帖数: 358
1
【 以下文字转载自 WaterWorld 讨论区 】
发信人: freeangle (华夏后裔), 信区: WaterWorld
标 题: 方舟子美国专利真相调查(ZT)
发信站: BBS 未名空间站 (Mon Feb 20 00:36:48 2012, 美东)
本帖最后由 jshi6210 于 2010-7-13 11:58 编辑
方舟子美国专利真相调查(ZT)
万维读者网络>教育与学术
美国永久居民、所谓“打假斗士”方舟子常常在中美之间飞来飞去,他经营的美国网站
新语丝,据说每天点击五六十万。他何以为生、维持网站运营,是长期以来大家比较感
兴趣的一个问题。今天因为甘怀远同学的揭露,进行了一番饶有趣味的搜索。
1. 方舟子的博士后在索尔克生物研究院进行 方舟子,本名方是民,1967年9月生于
福建云霄县。1985年考入中国科技大学生物系。1990年本科毕业后赴美留学。1995年获
美国密歇根州立(Michigan State)大学生物化学博士学位,先后在罗切斯特(
Rochester)大学生物系、索尔克(Salk)生物研究院做博士后 …
scitec... 阅读全帖

发帖数: 1
2
07 Nov 2019
Just as the clamor about Biogen’s seeking FDA approval for aducanumab with
one positive Phase 3 trial started to die down, a Chinese company garnered a
regulatory thumbs-up for its drug, also with one Phase 3 trial. On November
2, Shanghai Green Valley Pharmaceuticals announced that China’s National
Medical Product Administration (NMPA) had conditionally approved GV-971, aka
oligomannate, for the treatment of mild to moderate Alzheimer’s disease.
Full approval, expected to come in sp... 阅读全帖
t******t
发帖数: 15246
3
China is advancing its space capabilities by developing staged combustion,
an engine technology that is likely to offer greater performance for the
Long March 6 and 7, two of a family of launchers that the country will field
around the middle of the decade.
The smaller of the two, the Long March 6, may be the first to go into
service, beating the flagship third member of the family, the Long March 5
heavy launcher.
A new 18-metric-ton-thrust engine “is a high-altitude liquid oxygen and
kerosene ... 阅读全帖
a*o
发帖数: 19981
4
这个讨论的最后一页:
This is so easy even a caveman could do it. Read my other post first. The
light module is located on top of the running board, but behind the body
trim panel. One in front and one in rear. 2 screws hold the module to the
running board. You open the module by turning the rear portion counter
clockwise about 1/8 of an inch to release from main unit. You will see light
bulb once you open. Buy the correct bulb or it will not fit the reflector
inside the module. You will see once you remov... 阅读全帖
a****8
发帖数: 2771
5
来自主题: Automobile版 - 通用因火灾隐患召回443,000辆车
http://www.autoblog.com/2013/06/16/gm-recalls-over-230-000-more
Back in August, the National Highway Traffic Safety Administration announced
a recall on the General Motors GMT360 SUVs (Buick Rainier, Chevrolet
Trailblazer, GMC Envoy, Isuzu Ascender and Saab 9-7X) ranging from the 2005
to 2007 model years and the 2006 GMT370 SUVs (Chevrolet Trailblazer EXT and
GMC Envoy XL) due to potential fires associated with the driver's door
module. Initially limited to 250,000 units sold or registered in 20... 阅读全帖
D**********g
发帖数: 110
6
ask for some help,
have problem of :import package from different module
currently work for a porting task in the Android Studio,
encounter a pretty weird problem.
An old projectA has module AA.
my new projectB(with moudle BA,BB) want to import module AA from projectA,
so I created the same module AA in the projectB.creating the same class and
interface,eg
but in the module BA , in a class,
when I typed : import xxxxx , a package in the module AA.
it always failed, said can not resolve.
g****d
发帖数: 86
7
来自主题: StartUp版 - 有人做物流方面的创业么?
有一些想法,把物流和IT结合起来,以Los Angeles和Long Beach为基础市场拓展业务。
主要思路是提供基于web的3PL服务。
系统准备在Linux平台上用Java, JSP开发。
3PL Software's warehouse management systems are designed for the third
party logistics industry.
Module Options Include:
Base Billing & Inventory Module: Receiving, Inspection, Slotting,Cycle
Counting,
Physical Inventory, Shipping, Palletizing
LOT Control Module
Order Management Interface
Trucking System Interface
Revenue Analysis Module
Enhanced Pre-Receiving Module
Expanded Physical Count Module
E
t*******y
发帖数: 10477
8
来自主题: Fishing版 - 崇洋迷外
华  夏  文  摘
CHINA NEWS DIGEST — CHINESE MAGAZINE(CND-CM)
·—·—·全球首家中文电脑期刊 中国新闻电脑网络(CND)主办·—·—·
—— 第一○四○期 ——         —— 每周五出版 ——
(二○一一年三月四日出版)        (一九九一年四月五日创刊)
※※※※※※※※※※※※※※※※※※※※
※  三月六日CND成立二十二周年  ※
※※※※※※※※※※※※※※※※※※※※
辛卯年正月三十
————————————————————————————————————
本期目录(cm1103a)
————————————————————————————————————
⒈德国国防部长的困境                       汪晶晶
http://my.cnd.org/modules/wfsection/article.php?articleid=28346
⒉埃及印象                            文取心
http://my.cnd.org/modules/wfsection/articl... 阅读全帖
f*******e
发帖数: 2791
9
来自主题: WaterWorld版 - 方舟子美国专利真相调查(ZT)
本帖最后由 jshi6210 于 2010-7-13 11:58 编辑
方舟子美国专利真相调查(ZT)
万维读者网络>教育与学术
美国永久居民、所谓“打假斗士”方舟子常常在中美之间飞来飞去,他经营的美国网站
新语丝,据说每天点击五六十万。他何以为生、维持网站运营,是长期以来大家比较感
兴趣的一个问题。今天因为甘怀远同学的揭露,进行了一番饶有趣味的搜索。
1. 方舟子的博士后在索尔克生物研究院进行 方舟子,本名方是民,1967年9月生于
福建云霄县。1985年考入中国科技大学生物系。1990年本科毕业后赴美留学。1995年获
美国密歇根州立(Michigan State)大学生物化学博士学位,先后在罗切斯特(
Rochester)大学生物系、索尔克(Salk)生物研究院做博士后 …
scitech.people.com.cn/GB/25509/43690/index.html
2. 方舟子本人如此解释他的收入来源 方舟子:我把博士后研究时做的东西(克隆
了一个基因)申请了专利,得到一笔钱,可以维持基本生活费用。同时,我与人合作在
国内开了个专... 阅读全帖

发帖数: 1
10
来自主题: Hardware版 - 内存单条扫描没错,两条就报错
Why do I get errors only when testing RAM modules together, and not when
individually tested?
Most memory systems nowadays operate in multiple channel mode in order to
increase the transfer rate between the RAM modules and the memory controller
. It is recommended that modules with identical specifications (ie. "
matching modules") when running in multi-channel mode. Some motherboards
also have compatibility issues with certain brand/models of RAM when running
in multi-channel mode.
When you see... 阅读全帖
b***e
发帖数: 15201
11
我刚开始学gwt,想做个小应用,call一个web service(SOAP),该service会返回一个
object数组,然后我想用gwt的一些widgets在用户端来显示这个object数组包含的数据。
现在我是在gwt的server code里面调用web service,成功的取到了这个对象数组"
errorlist"。 我想把errorlist返回给client code。
我google来的近似答案是,需要把errorlist这个class放在shared目录,让client
side code可以编译到它。
但是现在的问题是,这个errorlist从WSDL生成,里面用到了org.apache.axis的一些类
。如果是把这个类文件放server端编译就毫无问题。放到shared目录后,就出错,说是:
org.apache.axis.description.ElementDesc can not be found in source packages.
Check the inheritance chain from your module; it may not be... 阅读全帖
z****t
发帖数: 1090
12
标题是起着玩的,吸引眼球。 但还是头一次碰到问题网上找不到任何线索来解决了。

用了10.10几天没什么问题, 今天突然用软件中心或新立得安装删除软件时 突然有了
这个错误 dpkg: version
'uname -r' has bad syntax
取不到内核版本,导致后面的错误。 还以为是dpkg的问题,但试着重装过dpkg不行,
同样的错误; 试着从live
cd重装dpkg也是同样的错误。 现在怀疑是shell的问题, shell执行的时候'uname
-r' 没有当成命令执行。 但
不知道可以怎么解决, 换个shell吗 有没有问题。 ubuntu默认的shell是 /bin/bash
dpkg: version 'uname -r' has bad syntax: version string has embedded spaces
dpkg: version 'uname -r' has bad syntax: version string has embedded spaces
dpkg: version 'uname -r' has bad synt... 阅读全帖
E*V
发帖数: 17544
13
☆─────────────────────────────────────☆
nickmit (上海老姜) 于 (Wed Oct 27 01:52:51 2010, 美东) 提到:
先建个临时目录
# mkdir -p /tmp/ubuntu/maverick
没有 debootstrap 的先安装一下
# apt-get install debootstrap
然后构建基本系统,这里就用 10.10 吧,你也可以换 lucid
# debootstrap maverick /tmp/ubuntu/rootfs
基本设置一下
# chroot /tmp/ubuntu/rootfs
# mount -t proc none /proc
# mount -t devtmpfs none /dev
# mount -t devpts none /dev/pts
# mount -t sysfs none /sys
俺喜欢给 root 加个密码,sudo... 阅读全帖
d******i
发帖数: 7160
14
来自主题: Linux版 - ArchLinux安装deluge失败
多谢。走到第二步WebGUI又挂了。
好像又缺mako.template。
试着pacman Sy mako.template不灵。
咋整?
[root@alarm ~]# deluged
[root@alarm ~]# deluge-web
Traceback (most recent call last):
File "/usr/bin/deluge-web", line 9, in
load_entry_point('deluge==1.3.5', 'console_scripts', 'deluge-web')()
File "/usr/lib/python2.7/site-packages/deluge/ui/web/web.py", line 138, in
start
web.start()
File "/usr/lib/python2.7/site-packages/deluge/ui/web/web.py", line 107, in
start
import server
File "/usr/lib/pyt... 阅读全帖
d******i
发帖数: 7160
15
来自主题: Linux版 - ArchLinux安装deluge失败
多谢。走到第二步WebGUI又挂了。
好像又缺mako.template。
试着pacman Sy mako.template不灵。
咋整?
[root@alarm ~]# deluged
[root@alarm ~]# deluge-web
Traceback (most recent call last):
File "/usr/bin/deluge-web", line 9, in
load_entry_point('deluge==1.3.5', 'console_scripts', 'deluge-web')()
File "/usr/lib/python2.7/site-packages/deluge/ui/web/web.py", line 138, in
start
web.start()
File "/usr/lib/python2.7/site-packages/deluge/ui/web/web.py", line 107, in
start
import server
File "/usr/lib/pyt... 阅读全帖
n****1
发帖数: 1136
16
来自主题: Linux版 - 论linux的体验与普及
我上一贴里已经有Linus本人原意了, 里面还有个link到他在mail list上的发文
下面就是Linus自己的post
https://lkml.org/lkml/2006/12/13/370
If a module arguably isn't a derived work, we simply shouldn't try to say
that its authors have to conform to our worldview.
We should make decisions on TECHNICAL MERIT. And this one is clearly being
pushed on anything but.
I happen to believe that there shouldn't be technical measures that keep
me from watching my DVD or listening to my music on whatever device I damn
well please. Fair use, man. ... 阅读全帖
s****h
发帖数: 921
17
来自主题: Programming版 - Python的script的兼容问题
谢谢你的说明。
你能详细讲讲吗?
可以在Python里实现多进程?二个进程里分别调用不同的module.
不过在我的算法里,这2个module有逻辑上的依存关系,需要多次运行:B module需要A
module的计算结果,A module的计算输入又依赖于B module的计算结果。
A -> B ->A ->B........->A ->B
z****e
发帖数: 54598
18
现在发展的方向是这样
以后争取让一个module用自己的p
而不是从一个工程的层面来讨论p
就是把每一个大项目切割成不同的modules
然后每一个modules通过自己的需要来选择p
这样就可以让不同的组用不同的p
而不至于互相冲突
但是要做到这一点,首先要实现多语言的支持
比如vert.x做的那样,支持多个语言
否则一个语言有多个p的话,不能保证module内部不会出现多个p
但是如果不同的module只能用一个语言的话
那只要控制这个语言在这个module上不要出现多个p
那这个应该还是可以的,因为scope首先被缩小了
其次就是不同语言本身或多或少对p会有控制
所以从约束p的滥用的角度出发,c++前途很明显是不行的
因为c++的p太多太滥,p可不是越多越好的东西
反过来说越少越好恐怕还是对
z****e
发帖数: 54598
19
来自主题: Programming版 - 客观总结vert.x
不用配,这就是vert.x最大的优势
你用其他的都太heavy了
vert.x只要懂得下module就可以了
其他的东西就是当年的jbuilder模式,什么东西都塞给你,也不管你要不要
结果一个比一个大,笨重,最后又大又难用,最后干脆就不用了
就跟db前景堪忧一个道理
vert.x就是eclipse,本身没有什么东西,就那么一点点东西
很快就摸透了,但是module就是插件,谁要谁自己去下去
有兴趣用的人自然会去倒腾,module里面很强
有象spring一样di的module,也有象kefka,storm这些的module
还有jca接口module,jdbc什么就不用说了,你想要什么就给你什么
就像eclipse最后搞死jbuilder一样,vert.x最后也能胜出
因为简单,不笨重,而且可扩展性强
现在其他脚本程序员也都在用eclipse
vert.x就是server上的eclipse
f*****w
发帖数: 2602
20
来自主题: Programming版 - vert.x 下使用ORM的疑问
我读了下文档 还没有任何实战经验。 如果我要加入ebean 作为ORM的话 这样子搞可
以么 能不能请zhaoce大牛评论下
找了下没有现成的ebean的module,所以我就把ebean的包,以及我自己所有的entity
class 全都放在一个module下 (设置为worker)。然后在这个module初始化配置里面
允许修改数据库连接信息,这样就可以容易测试。然后每个transaction级别的数据库
操作 我全都设置一个handler
然后主要的逻辑放在另外一个非worker的module里面,然后在需要数据库操作的时候调
用ebean module。
可是这样做的唯一一个疑问是 这样我就会非常频繁地使用json在这两个module之间调
用。我的数据量如果不trivial的话 岂不是大量数据serialize/deserialize的
overhead会相当之大?
l*******G
发帖数: 1191
21
来自主题: Computation版 - fortran90 奇怪的格式问题
对于自己定义的数据(结构)的成员打印格式居然出问题。有兴趣的看一下为何主程序
最后两行打印结果不一样?gfortran and ifort give same results on linux.
=====save to test.f90, and then "ifort test.f90" and then "./a.out" to run=====
module numz
integer, parameter:: b8 = selected_real_kind(14)
integer,allocatable :: a_gene(:),many_genes(:,:)
end module
module galapagos
use numz
... 阅读全帖
l*******G
发帖数: 1191
22
来自主题: Computation版 - fortran90 奇怪的格式问题
对于自己定义的数据(结构)的成员打印格式居然出问题。有兴趣的看一下为何主程序
最后两行打印结果不一样?gfortran and ifort give same results on linux.
=====save to test.f90, and then "ifort test.f90" and then "./a.out" to run=====
module numz
integer, parameter:: b8 = selected_real_kind(14)
integer,allocatable :: a_gene(:),many_genes(:,:)
end module
module galapagos
use numz
... 阅读全帖
z*****n
发帖数: 7639
23
1. 哪些可用频段,各有哪些可用的RF module。一般embedded
都用ISM频段,根据所在国家/地区的不同,可能是400/500MHz,
800/900MHz,和2400MHz左右。比较流行的RF module是Chipcon/TI,
Moto,Freescale,Amber。
2. 都有哪些标准。常见的RF有支持bluetooth,IEEE802.15.4,
WIFI,也有纯粹的RF transceiver,啥标准都不支持,连MAC层
都得自己写。当然了,物理层一般都是钉死了的,比如tx power,
modulation technique。
3. 如何写这些module的接口。一般看看module的datasheet,应该
不是太大的问题。
4. 如何设计上层协议。这个要求比较高了。支持标准协议的module
一般提供MAC层SPI,发送你直接往RF端口传一个frame就可以了。接收
也是RF完整收到一个frame后,接收地址和CRC校验无误,RF向MCU发出
中断请求,ISR里面读入SPI。没MAC的就比较复杂,一般也就用在点对
点通信。哪怕是这种最简单情况下如果通信... 阅读全帖
o****o
发帖数: 8077
24
来自主题: _Auto_Fans版 - 太尼玛坑爹了
dealer just called, saying the Wireless Control Module is not working
Google returns this information:
Jeep Wrangler Problem
2 ReportsMe Too Edit Model Years Affected: 2007, 2008
Verified
A no crank or no start condition may develop due to electronic lockup of the
wireless control module (WCM), also referred to as the Sentry Key Remote
Entry Module (SKREEM). When this module fails the remote keyless entry
system will also not operate. The WCM is commonly replaced to correct this
concern.
-------... 阅读全帖
s*****e
发帖数: 404
25
Try to manually load this module and get this error. :(
unknown*CLI> module load app_macro.co
Unable to load module app_macro.co
Command 'module load app_macro.co' failed.
[Jan 31 21:52:38] WARNING[3958]: loader.c:409 load_dynamic_module: Error
loading module 'app_macro.co': File not found
[Jan 31 21:52:38] WARNING[3958]: loader.c:874 load_resource: Module 'app_
macro.co' could not be loaded.
unknown*CLI>
w*********g
发帖数: 30882
26

以后电动车的电池都是模块化设计,一个电池组包含有10个独立的modules,每个
module贮藏10%电能,可以独立拆卸和替换。电力降到20%以下的时候,随便找个充电站
,就有人搬出8个充满电的module来替换你车子里的用完了的8个module,跟点个汉堡一
样快。前后不超过1分钟。你付的钱就是那8个module里面的电的钱。
l***a
发帖数: 198
27
来自主题: Military版 - 高温气冷堆大跃进之忧
原文有图:
http://blog.sciencenet.cn/blog-268546-913874.html
高温气冷堆大跃进之忧
雷奕安,北京大学物理学院
惊闻高温气冷堆要在湘赣等地大规模投入应用,深感忧虑。此事实在太大,不敢无视。
调研相关文献之后,发现问题比以前知道的还要严重,因此撰写此文,希望澄清一些事
实,并抛砖引玉,在发展核能这样需要非常慎重态度的问题上,请更多专家及决策领导
,更全面地看待高温气冷堆的应用和推广问题。
我国要发展的高温气冷堆是球床氦冷堆,技术源自德国的AVR。AVR热功率46MW,电功率
15MW,1960年开始建设,1967年建成,1988停堆。反应堆运行期间,事故不断。2011-
2014年间,外部专家审查了该堆的运行情况,提出了严厉的批评。2014年,AVR业主公
开承认了该堆的失败。由于放射性污染,该堆的拆除工作极端困难。2014年,德国政府
修了一堵巨大的混凝土墙预防恐怖分子飞机撞击。
我国第一座研究型高温气冷堆HTR-10的建造得到了AVR的授权,原型是HTR-MODUL,相当
于原设计的一个缩减版。HTR-10的热功率为10MW,电功率2M... 阅读全帖
c*******a
发帖数: 1879
28
来自主题: Military版 - 大哥语法都是这么定义的
Grammar
atom ::=
identifier | literal | enclosure

enclosure ::=
parenth_form | list_display
| generator_expression | dict_display
| string_conversion | yield_atom
literal ::=
stringliteral | integer | longinteger
| floatnumber | imagnumber
stringliteral ::=
stringliteralpiece
| stringliteral stringliteralpiece
parenth_form ::=
"(" [expression_list] ")"
list_display ::=
... 阅读全帖
f*******e
发帖数: 1061
29
Construction of China's Type 055 destroyers forges ahead
Commercial satellite imagery taken on 11 April shows that significant
progress has been made in the construction of the first four Type 055
destroyers for China's People's Liberation Army Navy (PLAN).
At the Jiangnan Changxingdao shipyard near Shanghai, where construction of
the lead ship of the class commenced in late 2014, Hull 1 appears to have
all the modules in place and most of the modules for Hull 2 are in position.
In late 2016, hu... 阅读全帖
N********g
发帖数: 132
30
之前断断续续亮了又熄熄了又亮有一个月了,现在终于是一直亮。开到车店里查了错误
代码,是P0710和P1637。车店说有一个负责换档信号的部件(ESM,electric shift
module,又叫做Shifter bezel)坏了,需要更换。部件本身800元,工钱200元。
对这个部件俺是一窍不通,在网上搜索了一下,看到的都列在下面了。
俺想请教的是,换这个东西工钱200元是不是太贵?部件价格俺还没有查到,实在是太
笨了。
大切诺基是2005年的(二手),里程54k。网上老美说ESM这个情况很多,有人说非换不
可也有人说reset一下就能好,莫衷一是。
多谢!
P0710-TRANSMISSION TEMPERATURE SENSOR CIRCUIT
Theory of Operation
The Electrohydraulic Control Unit Assembly of the NAG1 transmission contains
a temperature sensor to monitor the transmission oil temperature. This
s... 阅读全帖
o***m
发帖数: 203
31
09/08/2012 1 Town & Country Jeep Chrysler
Levittown, NY
516-796-5500
tcjeep.com Pre-delivery inspection completed
09/08/2012 5 Town & Country Jeep Chrysler
Levittown, NY
516-796-5500
tcjeep.com Vehicle offered for sale
01/18/2013 Chrysler Manufacturer Customer Satisfaction
Notification issued
#M38 REPROGRAM POWERTRAIN CONTROL MODULE
Click here for a copy of the owner letter for this Customer Satisfaction
Notification or call 1- 800-853-1403 i... 阅读全帖
v******r
发帖数: 1630
32
Solar module efficiency is practically meaningless when it comes to real
world performance. If you have sufficient space on your roof to accomodate
lower efficiency solar modules then there is no difference in energy
production. A 300 watt high efficiency solar module like SunPower puts out
nearly the same 300 watts as a 300 watt lower efficiency module. The only
difference is the physical size of the module and of course price. So if you
have sufficient roof space, why on Earth would you pay Su... 阅读全帖
j*******7
发帖数: 6300
33
来自主题: TrustInJesus版 - 韩家亮:也谈基督教的三位一体
近来在CND上有两篇王令隽关于基督教三位一体的文章【1,2】。读者或许知道我写过几
篇关于基督教的文章,例如【3,4,5】,其中略有涉及三位一体的教义。我本来不想深
谈教义,因为要深刻了解教义非要认真学习相关宗教课程。而三位一体教义又是最难懂
的教义之一,恐怕需要4字头的课程来详细研讨。CND网站是针对大众的,应该以通俗文
章为主。我尽量在保证内容基本正确下使我的文章易懂。在这些文章的注释中我又仔细
挑选相关文献,使感兴趣的读者可以进一步研读。王令隽的文章中对三位一体的教义解
释明显有严重错误。我的这篇文章尽量以浅显易懂的叙述来初步解释这个教义,同时提
供较好的参考资料。
在我们讨论以前,我想提醒大家了解神学的意义。宗教与其它学科有相似的地方,它有
架构。如果无视它的架构,不懂得基础知识而辩论高深的东西常常会导致荒谬。大陆来
的不少人被共产党洗脑以后,对宗教有很深的偏见,认为宗教信仰是人的无知的表现。
实际上这个题目相当复杂。我已经举过牛顿爱因斯坦的例子。最近又看到另一个例子。
研究计算机科学的读者应该听说过Donald E. Knuth。Knuth是计算机科学上的巨匠,算
法奠基人,... 阅读全帖
d******e
发帖数: 4192
34
来自主题: Wisdom版 - 佛陀吃太多豬肉死的,是嗎?
你研究一下这个,和你看的书观点一样吗?
--------------------------------------------------------------
http://blog.roodo.com/david999/archives/157534.html
在台灣原始佛教協會網站上http://www.oba.org.tw/,看過引經據典反擊中國大乘的素食戒律的討論串。
佛陀讚嘆素食嗎?
http://www.oba.org.tw/modules.php?name=Forums&file=viewtopic&t=364
http://www.oba.org.tw/modules.php?name=Forums&file=viewtopic&t=404
http://www.oba.org.tw/modules.php?name=Forums&file=viewtopic&t=419
提婆達多
http://www.oba.org.tw/modules.php?name=Forums&file=viewtopic&t=428
問疑:為何在僧中提倡素食會導致僧團分裂?
ht... 阅读全帖
w****p
发帖数: 6
35
来自主题: USTC版 - 发个计算机学院的笑话
比较两个教授的publication,大家觉得哪个好?
#######################################
教授A
Selected Publications:
1. Xin M. Liang, Weiping Ding, et al., Microfabricated thermal conductivity
sensor: a high resolution tool for quantitative thermal property measurement
of biomaterials and solutions, Biomed Microdevices, (2011)13: 923–928. (
SCI&EI, IF:3.386, JCR:2区)
2. XM Zhou, ZQ Shu, WP Ding, et al., Heat transfer analysis for the design
and application of the passive cooling rate controlled device-Box-in-box,
Int ... 阅读全帖
A*******g
发帖数: 607
36
PWM 1khz 只是专利的第一项:
http://www.freepatentsonline.com/y2011/0164069.html
What is claimed is:
1. An electronic device, comprising: a display panel comprising a plurality
of pixels; a light source comprising a plurality of light emitting diode (
LED) strings adapted to generate light to illuminate the plurality of pixels
; and display control logic adapted to sequentially activate and deactivate
each LED string of the plurality of LED strings at a frequency of
approximately at least 1 kHz.
2. The el... 阅读全帖
A*******g
发帖数: 607
37
PWM 1khz 只是专利的第一项:
http://www.freepatentsonline.com/y2011/0164069.html
What is claimed is:
1. An electronic device, comprising: a display panel comprising a plurality
of pixels; a light source comprising a plurality of light emitting diode (
LED) strings adapted to generate light to illuminate the plurality of pixels
; and display control logic adapted to sequentially activate and deactivate
each LED string of the plurality of LED strings at a frequency of
approximately at least 1 kHz.
2. The el... 阅读全帖
r*******o
发帖数: 38
38
来自主题: DotNet版 - Anybody using DotNetNuke212?
I just downloaded DotNetNuke 212. But I cann't upload a new module defination.
Any body can help me.
procedure: IN VS studio, under desktop module folder, I add a new module
called test1. then run the DotNetNuke 212. At the home page, go to
host--module defination---add new module---select path of the
file--/desktopmodule/test.ascx
then it gives me an error message said uploading problem with skin object.
Thanks
s******e
发帖数: 493
39
来自主题: Java版 - 请教关于使用map和fields
Your problem has nothing to do with java colletcions framework. ALso I do
not think java collections framework is 高深. But that is off the topic.
Java is a strong type and object oriented language. you should be able to
find tons of articles and books talking about why an object oriented
language is better than procedural languages for enterprise applications.
Using map to save the heterogeneous class states not only defeats the
purpose of the strong type language, but also fails to mimic the dom... 阅读全帖
z****e
发帖数: 54598
40
来自主题: Programming版 - damn, love vert.x
fuking simple and easy
and modules can feed all requirements in traditional enterprise and web
companies
this is exactly the web service server i have been looking for years
this guy will be a giant in the near future
and it will replace, well at least erode, current frameworks like storm,
akka, django, ror or any frontend frameworks u r using
the module could even integrate into traditional jee environment like jca
module
just take a look at useful modules here
http://github.com/eclipse/vert.x/... 阅读全帖
z****e
发帖数: 54598
41
来自主题: Programming版 - damn, love vert.x
你看我给的链接
这个框架只是非常basic的一个东西
真正具体的实现是通过modules来实现的
你插入什么module,它就是什么东西
比如你插入了一个http & 8080 listener这样一个module
它就具备有对8080端口监听并且parse http请求的这么一个功能
如果你插入了一个streaming的一个module
它就具备有了storm的功能
如果你插入了一个spring一样的di module
它就具备有了spring的功能
如果你插入了一个jca,我的天
那么它就具备有了跟j2ee那些企业级app紧密集成的功能
总之这是一个大平台,上面随便你扩展,我目前做的是通过这个平台,做一个报表的扩展
用javafx,把javafx上那些很花哨的chart直接export成image
然后再将image变成byte array,然后再做成一个buffer,也就是vert.x可以识别的格式
然后反馈回去
我写一篇文章介绍一下这个帅得一塌糊涂的东西
完全是革命性的创造,我曾经构想过一个类似的东西
但是我觉得,red hat的tim把我想要做的都做了,我决定在这上面做贡献
... 阅读全帖
z****e
发帖数: 54598
42
来自主题: Programming版 - 关于各种语言应该这么理解
计算机语言这个东西,应该说有两个职能
就像人类语言最重要的职能是人与人交流的工具一样
计算机语言的主要职能是机器与人交流的工具
但是很多人都忘记了一点,计算机语言,同样具备有人跟人交流的职能
最早在计算机语言设计之初认为,人跟人交流通过注释来实现
后来发现,coding monkeys只喜欢劈里啪啦敲代码
最讨厌的就是写文档写注释,而且写出来的注释文档错误一堆
经常几十年不更新,所以最后大多数monkeys只能回头去看代码
所以计算机语言不得不具备有跟人类语言一样的职能,这不能不说是一个喜剧
回到最初的职能上去,那就是机器和人交流的工具
在以前,社会资源有限,计算能力有限,所以机器和人都爽是很难的
所以只能选一个爽,那当然是要让机器爽,机器爽了客户才爽
客户如果不爽,没人给monkeys发工资,那不行,所以第一步是满足机器
最早的汇编什么就是指令集,那就是机器语言
当时所谓的编程就是给计算机下指令,其实不存在有真正意义上的编程
然后有了c这个伟大的发明,c给人类社会最大的遗产应该是操作系统
操作系统之上,c就难堪大任了,一个很重要原因是
c没有搞定内存的管理,c搞定了cpu的管理,这个... 阅读全帖
i**p
发帖数: 902
43
来自主题: Programming版 - Micro-SD card 驱动程序 (转载)
这是一个root了的Android手机.
3 parts of dmesg here, insmod, remove sd card, insert sd card:
--------------------------------------
insmod mysdio.ko (sd card is in, trace is from my printk. no probe trace
though I add prink to my_sdio_probe)
<7>[ 263.716249] (pid:728, cmd:insmod) my_sdio_driver.drv.name:CRYPTRmicro_
sdio
<7>[ 263.716316] (pid:728, cmd:insmod) my_sdio_driver.drv.bus:0x8049be80
<7>[ 263.716373] (pid:728, cmd:insmod) my_sdio_driver.drv.bus->name:sdio
<7>[ 263.716429] (pid:728, cmd:insm... 阅读全帖
S*A
发帖数: 7142
44
因为我最近在 hack 这个 Pogoplug V4 mobile。我顺便帮
你看了以下。
我从 UBoot 上面去掉了 serial cosole。这个是 dmesg。
时钟初始化是在 12 妙开始, 并不是 Linux 真正启动了 12 妙。
所以走到 systemd 启动也才 3.5 秒钟。注意其中有 USB 硬盘
访问,因为那个 rootfs 是在 USB 上面。仔细看 demsg,去掉
USB 硬盘访问,去掉 SATA 寻找硬盘,去掉 Ethernet 寻找
Link 的时间,剩下初始化应该就在 2 秒钟以内了。这个 3.5
秒钟很多时间是在和 USB storage 的东西相关。你只要
rootfs 不在 USB flash 上面,这些都可以启动的时候不做。
所以 2 秒钟启动应该是可以的,不需要特别多定制。
基本上改改 kernel config 或者启动参数就可以了。
[ 0.000000] Booting Linux on physical CPU 0x0
[ 0.000000] Initializing cgroup subsys cpuset
[ ... 阅读全帖
S*A
发帖数: 7142
45
因为我最近在 hack 这个 Pogoplug V4 mobile。我顺便帮
你看了以下。
我从 UBoot 上面去掉了 serial cosole。这个是 dmesg。
时钟初始化是在 12 妙开始, 并不是 Linux 真正启动了 12 妙。
所以走到 systemd 启动也才 3.5 秒钟。注意其中有 USB 硬盘
访问,因为那个 rootfs 是在 USB 上面。仔细看 demsg,去掉
USB 硬盘访问,去掉 SATA 寻找硬盘,去掉 Ethernet 寻找
Link 的时间,剩下初始化应该就在 2 秒钟以内了。这个 3.5
秒钟很多时间是在和 USB storage 的东西相关。你只要
rootfs 不在 USB flash 上面,这些都可以启动的时候不做。
所以 2 秒钟启动应该是可以的,不需要特别多定制。
基本上改改 kernel config 或者启动参数就可以了。
[ 0.000000] Booting Linux on physical CPU 0x0
[ 0.000000] Initializing cgroup subsys cpuset
[ ... 阅读全帖
a********t
发帖数: 1810
46
来自主题: Actuary版 - 精算考试被误认为剽窃怎么办
http://www.soa.org/files/pdf/edu-fsa-mods-terms.pdf
Examples of Improper Conduct (these examples are not an exclusive list of
prohibited conduct):
1. Gaining access to the SOA e-Learning system prior to course registration.
2. Plagiarizing, improperly collaborating and/or colluding on the FAP or FSA
End-of-Module Exercise(s) submissions, the FAP Interim Assessment, FAP
Final Assessment or DMAC project, copying, or using another person’s work.
3. Use of language or information that has been copie... 阅读全帖
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)