由买买提看人间百态

topics

全部话题 - 话题: module
首页 上页 1 2 3 4 5 6 7 8 9 10 (共10页)
l*******s
发帖数: 1258
1
既然事已至此,就不大好挽回了。
不过你还是可以做些事情的。
搞一堆变态的test case,打个包,发给烙印,cc你老板,同时说明:这些个test case
是我当时用来测试新module的,如果module能通过这些个test case,才说明达到了系
统预定要求。这些case的设计,完全按照老板当年给我下达的技术指标设定的,请参考
。老板英明神武,有预见性,给的技术指标很有远见啊!
关于这些test case,你就搞一些测试比如超大并发、超大数据处理、高cpu memory负
载、特别乱的input。总之原则就是能体现出你的新module优越性。
烙印收到后,要么置之不理,那咱也没办法了,不过同时也是让老板脸上不好看了;如
果丫真的按照你的test case来干,十有八九干不出来,那到时候你就跳出来说:我无
偿提供技术指导,把我当年的算法和model交给你,同时别忘了:老板英明神武,有预
见性,当年预料到了系统会有问题让我重新设计,现在派上用场了,事实证明了老板的
英明神武啊!
p**r
发帖数: 5853
2
来自主题: Working版 - 【BSO】俺就是NB
这几天一直是忙得鸡飞狗跳,
自己咳嗽咳得跟个250一样,晚上还影响老婆睡觉。
但是公司的事情真的一点都走不开,
只能撑着开会,一个接着一个。。。
刚才verizon开会那里要求我们这里的feed多加一个details feed,
这事情很简单,但是因为太忙一直没搞。
然后开会的时候,其他部门问什么时候能加上,要做什么样的配合。
我说backend加一个field,然后你们upload东西就好
feed那里修改一下,应该1,2天时间就可以了。
他们很兴奋说下个星期二之前能搞好最好。
然后我猛然想起来之前的这个app是用俺的smart frame做的,
加小module不用改东西,只要在amdin里面选module就行了,
正好这时候v的同学们和我们公司扯一些其他的事情,
我跑回自己房间,看了一下,果断勾上这个module,
然后再看feed,尼玛,俺这feed也是smart的,自动识别有多增加或减少field
所以就搞定了,大概5秒钟。。
然后跑回去继续开会,说刚才搞定了,
开会的所有人膜拜啊。。。
然后老大说了一句,所以你丫不能生病啊,生病也得来。。。
n******i
发帖数: 374
3
来自主题: Immigration版 - [review opportunity] area of biomaterial
您好,希望能把这次机会给我,谢谢了
Yarong Liu
2623 Ellendale Pl.
Los Angeles, CA 90007
(213) 249-2419
y*******[email protected]
www.linkedin.com/pub/yarong-liu/2b/a36/65b/
PUBLICATIONS
1. Yarong Liu, April Tai, Kye-Il Joo and Pin Wang. “Visualization of DC-
SIGN-mediated entry pathway of engineered lentiviral vectors in target cells
” 2013, PLoS One 8(6): e67400.
2. Liang Xiao, Kye-Il Joo, Yarong Liu, Jinxu Fang and Pin Wang. “
Injectable thermo-sensitive hydrogel as an adjuvant: in vivo modulation of
dendritic ... 阅读全帖
m******n
发帖数: 18
4
【 以下文字转载自 JobHunting 讨论区 】
发信人: macallan (mesh), 信区: JobHunting
标 题: Job Openings (EE senior/principle engineer/Hong Kong/CMOS I (转载)
发信站: BBS 未名空间站 (Mon Feb 28 00:45:57 2011, 美东)
发信人: macallan (mesh), 信区: EE
标 题: Job Openings (EE senior/principle engineer/Hong Kong/CMOS Image Sensor)
发信站: BBS 未名空间站 (Fri Feb 25 02:08:56 2011, 美东)
Principle Engineer/Senior Engineer
Duties:
• To coordinate IC design and package design teams to implement new
technologies for various CMOS image sensor pro... 阅读全帖
T******T
发帖数: 3066
5
During my brief SW years, we followed a multi-tier code review process in
order to achieve the so called CMM-level II certification.
1) Each developer follows the "PSP (personal software process) " (pushed by
CMU's Software Engineering Institute). The process involves daily line by
line self code review, line of code tracking, defect tracking, task/schedule
tracking. Each developer's database then gets summarized and tracked at the
team's level. This process is meant to track a developer's code ... 阅读全帖
T*****u
发帖数: 7103
y****s
发帖数: 46
7
来自主题: NewJersey版 - job opportunities at PVH
PVH has the following job openings.
1. Business Systems Analyst-Commercial IT-Store Systems
2. Testing Manager
3. Senior Developer-Middleware
for more info, please check www.pvh.com site.
Business Systems Analyst-
Commercial IT-Store Systems
More information about this job:
Overview:
PVH Corp. is a global, action-oriented company characterized by achievement
and commitment. We
want people who are hungry for both professional and personal growth; who
will help us take our
brands, our businesses a... 阅读全帖
y*****2
发帖数: 412
8
T400 基本没装什么乱七八糟的东西,或者太高深的东西
Dump log
Microsoft (R) Windows Debugger Version 6.12.0002.633 X86
Copyright (c) Microsoft Corporation. All rights reserved.
Loading Dump File [C:\Windows\Minidump\010712-13930-01.dmp]
Mini Kernel Dump File: Only registers and stack trace are available
Symbol search path is: *** Invalid ***
****************************************************************************
* Symbol loading may be unreliable without a symbol search path. *
* Use .symfix to have the d... 阅读全帖
f******u
发帖数: 767
9
On March 1, 2011, LAPD authorized .380 semi-auto pistols for off-duty and on
-duty back-up use.
In order to encourage officers to carry back-up and off-duty firearms, as
well as to take advantage of the technological advances in firearm and
ammunition construction, the Department has authorized the following .380
caliber pistols and ammunition:
Approved Pistols Ruger LCP, caliber .380 Smith and Wesson Bodyguard, caliber
.380 (LAPD SKU ONLY, no manual safety)
Approved Ammunition Hornady Critical ... 阅读全帖
a*******u
发帖数: 6324
10
嗐!轩哥,那不就是叫做Vibrato嘛。
就抖啊,就震啊,就一个词根啊。
http://en.wikipedia.org/wiki/Vibrato
http://zh.wikipedia.org/wiki/%E9%A1%AB%E9%9F%B3_(%E9%9F%B3%E6%A
颤音 (音乐)
维基百科,自由的百科全书
颤音是一种乐器技巧。
Vibrato
From Wikipedia, the free encyclopedia
Vibrato is a musical effect consisting of a regular, pulsating change of
pitch. It is used to add expression to vocal and instrumental music. Vibrato
is typically characterised in terms of two factors: the amount of pitch
variation ("extent of vibrato") and the speed with which the p... 阅读全帖
t*******r
发帖数: 22634
11
不要急不要急,俺会尽可能通俗易懂。俺会在长笛和唱歌之间穿插着说,
避免把这个版变成长笛版。。。俺先放个长笛的说法,主要是写 paper
先给 reference,这样显得有说服力。不过俺比较忙,慢慢挤牙膏。
现在回到唱歌,不管是长笛还是唱歌,所有的气颤音,俺觉得主动的
动作都是气流调节(air flow modulation)而不是音高调节(pitch
modulation)。音高的颤动是个次生的效应,也就是气流在颤动,
音高自然随之颤动。
由上篇的长笛喉部动态 X 光的研究,俺认为唱歌的颤音(vibrato)
的气流调节(air flow modulation),主要起作用的还是声带
而不是腹部或者下巴肌肉的颤动(有些人下巴其实也不颤)。
待续。。。
p**p
发帖数: 3386
12
一般换driver就是换个kernel module而已
kernel module和用户态进程通讯也不难实现
所以我理解x11也就不过是个带GUI的driver configuration tool
核心功能应该都在kernel module里面

,最
y*********e
发帖数: 7269
13
来自主题: PhotoGear版 - Canon又学Pentax了
GPS module也有direction信息了。
可惜Canon的GPS module没法玩星轨跟踪
不知道这个module对于低档机也能用。
w**7
发帖数: 5258
14
来自主题: PhotoGear版 - Pentax K-3
• 24 effective mega-pixels in an APS-C sized CMOS sensor
Designed for the demanding photographer, the K-3 features 24 effective
megapixels in an APS-C sized CMOS sensor for outstanding high resolution
imagery. By coupling this sensor with a newly developed PRIME III imaging
engine with high-efficiency noise and image processing capacities and an
anti-aliasing filter-less design, the K-3 delivers high-resolution, fine-
gradation images.
• Selectable Anti-Aliasing Filter
Enjoy the w... 阅读全帖
m*******n
发帖数: 519
15
http://www.dpreview.com/reviews/nikon-d750/9
这里面讲了些,主要是autofoucs module的安装位置有偏差,DPReview用的两台D750
同样的镜头需要AF fine tune在机身上
“Implications for autofocus accuracy
Given that the problem arises from the placement of the AF module, we were
curious to check for any effects on focus accuracy. Since we were lucky
enough to have two D750 bodies with differences in severity of the flare
issue, we tested both bodies for front/back-focusing issues relative to one
another, with two different prime lenses: both... 阅读全帖
d**********o
发帖数: 1321
16
来自主题: WebRadio版 - 潜水员冒泡兼征版友意见
实习(31)
那个夏天,严格来讲,从我开始锻炼身体以来,我早上来公司一小时之内一般不敲键盘
。很长一段时间以来,人们一直以为我人来到公司、实际上早上没睡醒呢,还需要在
office里懵一阵子。直到某天B早上来到公司后,找我说一起去喝咖啡,我告诉她我早
上脑袋很清醒的、不需要啊,我只有下午和晚上的时候才会需要它们帮忙,早上的黄金
时间我一般都在读文档呀。
那个周四傍晚五六点钟快下班了,我问了A一个整个夏天最弱智的问题,我的某个单个
module文件我写完了,独立测试也通过了,可不知道为什么当我把它同我其它的module
串起来之后,再去测试就过不了。过了十分钟等A把手头的工作忙我,帮我看一眼,他
说他相信我能自己fix。这种问题我一定是相信A的,他说我能fix,那我就两分钟之内
把它fix掉了,因为我把某路径字符串写了两遍。后来到真正写完这个项目后我才最终
明白,先前那一行代码完全可以是从MSTK自带过来的,与A的framework无关。而我却受
环境影响想多了,走了更多的弯路。
那个项目我也是从周四快中饭时间(大概 11 : 00 左右吧)开始敲,到周五下午写完 11
个module,... 阅读全帖
d**********o
发帖数: 1321
17
来自主题: WebRadio版 - 潜水员冒泡兼征版友意见
实习(31)
那个夏天,严格来讲,从我开始锻炼身体以来,我早上来公司一小时之内一般不敲键盘
。很长一段时间以来,人们一直以为我人来到公司、实际上早上没睡醒呢,还需要在
office里懵一阵子。直到某天B早上来到公司后,找我说一起去喝咖啡,我告诉她我早
上脑袋很清醒的、不需要啊,我只有下午和晚上的时候才会需要它们帮忙,早上的黄金
时间我一般都在读文档呀。
那个周四傍晚五六点钟快下班了,我问了A一个整个夏天最弱智的问题,我的某个单个
module文件我写完了,独立测试也通过了,可不知道为什么当我把它同我其它的module
串起来之后,再去测试就过不了。过了十分钟等A把手头的工作忙我,帮我看一眼,他
说他相信我能自己fix。这种问题我一定是相信A的,他说我能fix,那我就两分钟之内
把它fix掉了,因为我把某路径字符串写了两遍。后来到真正写完这个项目后我才最终
明白,先前那一行代码完全可以是从MSTK自带过来的,与A的framework无关。而我却受
环境影响想多了,走了更多的弯路。
那个项目我也是从周四快中饭时间(大概 11 : 00 左右吧)开始敲,到周五下午写完 11
个module,... 阅读全帖
g*q
发帖数: 26623
18
来自主题: Joke版 - 昨天错过一个拉普拉斯
人多的地方还真不一定是买的。我有时候在人特别多的地方也会撒一个花,几十个人在
玩,1-2个人用升级得到的module撒就可以了。到20级之前每个人可以用掉3个module,
大概需要访问5-600个stop,每个module可以管6个stop visit,如果15-20人一起share
,可以做到访问的一半stop都在撒花。
m**a
发帖数: 1208
19
来自主题: Prose版 - Matrix IV (2.1)
Matrix IV Integration
2.1 Piny的puzzle
Nuwoe醒来看看床头的钟,已经十一点半了。
“Piny婶婶怎么不叫我起床呢?“
“Aunty.....".
Piny听见Nuwoe从楼上房间传来的叫声,呯的一声合上笔记本。
Nuwoe这个丫头的动作近来越来越快了。别看她才上kindergarten,总让人防不胜防。
Piny心想,“是不是该认真地查一下这个房子的module有没有bug?“
“应该不会吧。这个module已经用了有200年了,从来没有出现过问题。想当年Train
Man的地铁站就是用了这个module困了Neo一天一夜,任凭Neo 的百般变化也没能逃脱。
最后还是他的gangs两肋插刀而且Trinity几乎要牺牲色相才将他救出。“
Piny还是不是很确定,因为她已经不止一次从监视器看见Nuwoe闪现在冰箱前偷拿了ice
cream然后就不见了。
“那还会是什么呢?是家具, 电器?还是要把她的玩具好好地查一查有没有bug。“
Piny有一点后侮她自己给culture revolution计划定下的规定:不许scan所有参加该计
划人类level
m**a
发帖数: 1208
20
来自主题: Prose版 - Matrix IV 第一章 上半部
Matrix IV Integration
第一章 Culture Revolution 计划
2.1 Piny的puzzle
Nuwoe醒来看看床头的钟,已经十点半了。
“Piny婶婶怎么不叫我起床呢?“
“Aunty.....".
Piny听见Nuwoe从楼上房间传来的叫声,呯的一声合上笔记本。Piny总是担心在它工作
时Nuwoe会突然出现在身后,看见一些她绝对不能看的。 Nuwoe这个丫头的动作近来越
来越快了。别看她才上kindergarten,总让人防不胜防。因为它已经不止一次从监视器
看见Nuwoe闪现在冰箱前偷拿了ice cream然后就不见了。Piny对Nuwoe在Matrix中表现
出来的超能力一点也不奇怪。
Piny心想,“是不是该认真地查一下这个房子的module有没有bug?“
“应该不会吧。这个module已经用了有200年了,从来没有出现过问题。”
想当年Train Man的地铁站就是用了这个module困了Neo一天一夜,任凭Neo 的百般变化
也没能逃脱。最后还是他的gangs两肋插刀而且Trinity几乎要牺牲色相才将他救出。
Piny还是不是很确定
m**a
发帖数: 1208
21
来自主题: SciFiction版 - Matrix IV (2.1)
Matrix IV Integration
2.1 Piny的puzzle
Nuwoe醒来看看床头的钟,已经十一点半了。
“Piny婶婶怎么不叫我起床呢?“
“Aunty.....".
Piny听见Nuwoe从楼上房间传来的叫声,呯的一声合上笔记本。
Nuwoe这个丫头的动作近来越来越快了。别看她才上kindergarten,总让人防不胜防。
Piny心想,“是不是该认真地查一下这个房子的module有没有bug?“
“应该不会吧。这个module已经用了有200年了,从来没有出现过问题。想当年Train
Man的地铁站就是用了这个module困了Neo一天一夜,任凭Neo 的百般变化也没能逃脱。
最后还是他的gangs两肋插刀而且Trinity几乎要牺牲色相才将他救出。“
Piny还是不是很确定,因为她已经不止一次从监视器看见Nuwoe闪现在冰箱前偷拿了ice
cream然后就不见了。
“那还会是什么呢?是家具, 电器?还是要把她的玩具好好地查一查有没有bug。“
Piny有一点后侮她自己给culture revolution计划定下的规定:不许scan所有参加该计
划人类level
S***n
发帖数: 1281
22
来自主题: Wisdom版 - 同一個識在輪迴嗎?
我认为训练的很重要的一个部分,就是自己的common sense呀。。
或者说,是潜意识智慧。。
当潜意识智慧不够通透的时候,提出问题,提出局面,再进一步寻找经历的场景。。都
交给潜意识,让它自己去消化。。然后按照得出的adaptive module,检查是否更为扩
大,是否与既有module相合,跟其它module整合拼装,然后再进一步试用。。
呵呵,像不像AI?。。
是啊,我是反复在去走通别的路,已经四回了。。
按照你球的比方,人真要能有你说的那个自由度,那早解脱了。。人象你球里的一口井
里的青蛙,走不出去多远,那才是现实的场景。。
I****e
发帖数: 7742
23
由于航空公司垄断协议 很少有公司升级anti-tunneling radiation,
波音和洛克菲勒联合拒绝对现有的飞机加装这个module. 因为这个设计的飞控电路太多
了 如果全面升级 他们基本就破产了
不过现在新飞机上都偷偷装了ATR module, 这几个月出事的飞机 你仔细看一下 基本都
是日本出事之前造的 没有加装ATR module
唉 资本垄断害人那
C*****5
发帖数: 8812
24
【 以下文字转载自 PDA 讨论区 】
发信人: CRH1235 (CRH1235), 信区: PDA
标 题: 我来说说为什么 Iphone5是最薄的8MP手机
发信站: BBS 未名空间站 (Wed Sep 5 19:09:35 2012, 美东)
先声明一下,要比厚度要看最厚的地方,某些手机有的地方很薄,但是相机鼓出来一块
,这个不算啊。
现在手机的厚度主要取决于CMOS相机+镜头。为什么Nokia 920只有8MP而不是41MP呢,
因为CMOS芯片太大手机没办法做薄,道理很简单,相机模组(camera module,包括
CMOS芯片,镜头组,voice coil聚焦马达等)的总厚度主要是由CMOS的尺寸和镜头组厚
度决定的。CMOS越大,镜头就得做越大,一个camera module有4-5层镜头,这厚度小不
了。
现在主流8MP CMOS的像素大小是1.4 um,所以相机模组差不多是1/3英寸,厚度6mm,新
一代Iphone 用的是OVTI最新的BSI-2 1.1um 8MP CMOS,模组大小1/4英寸,厚度只有4.
2mm,就这一项就比其他手机就薄了将近两毫米。... 阅读全帖
r****y
发帖数: 26819
25
来自主题: BuildingWeb版 - 关于在Apache上使用ASP.NET
今年7月O'relly开源会议上covalent公司展示了他们的产品,据说可以将Apache和
ASP.NET结合起来。但是太贵了,卖到1500美元左右。
Apache和ASP可以用Perl的module结合起来。但是过时了。
以下文章是我在google的搜索结果,还没来得及测试,先贴在这里备忘。
Cassini is a web server written in C#, you'll find more information about it
at http://www.asp.net/
These simple steps gets Apache up and running with ASP.NET
Download and install Apache 2.x for Windows (remember to stop IIS)
Modify the http.conf file: #
LoadModule proxy_module modules/mod_proxy.so
LoadModule proxy_connect_module modules/mod_proxy_
g**********r
发帖数: 1
26
简化了的代码如



1. Please select the Module




onClick="alert(window.document.site.module.options[selectedIndex].value);
return false;" >

每当我用鼠标选择了第二项,然后submit,总是说我selectedIndex没有define,我直接把se
lectedIndex写成1则没有问题,请问高手应当怎样改阿?
c*****s
发帖数: 214
27
来自主题: BuildingWeb版 - [转载] apache mod_jk.so 问题
【 以下文字转载自 Linux 讨论区,原文如下 】
发信人: cyclops (编程浪子), 信区: Linux
标 题: apache mod_jk.so 问题
发信站: Unknown Space - 未名空间 (Fri Jan 28 00:58:28 2005) WWW-POST
我试图把 apache 2.0.40 和tomcat 5.0.28用mod_jk 1.2.6连接起来
在httpd.conf里加入LoadModule jk_module module/mod_jk.so后启动httpd时得到这个错

Cannot load /etc/httpd/modules/mod_jk.so into server:
/etc/httpd/modules/mod_jk.so: undefined symbol: apr_filepath_name_get
毫无头绪。
我试着装了apr 1.1.0 ,没用。
会是什么原因?谢了先。
d***y
发帖数: 183
28
来自主题: BuildingWeb版 - 请推荐一个带论坛功能的wiki
看了半天这个wiki那个wiki,似乎都不带论坛功能。
mediawiki什么的倒是有页面讨论,group什么的,但还是论坛的功能更全面些。
现在看到3个候选:
1. TikiWiki/bitweaver 大而全,整合了phpbb,但感觉页面load较慢,文档支持也不好
,汉化更是基本上没有,试装了tikiwiki和bitweaver,感觉似乎bug多多啊,老是出错,
对中文utf-8的支持似乎也不好,经常出现乱码。
2. Mambo 不算是wiki了,但好像中文化比较好?再找是不是有wiki module,好像有个中
文manhoo,整合了mediawiki,但要收钱?ft!
3. Xoops 据说有phpwiki还是wakka的module?打算装上试试。
还是希望主体是wiki,带个好用点的forum。如果mediawiki能挂上phpbb就好了,mambo/x
oops什么的wiki module不知道好不好用。还有什么别的推荐吗?谢谢!
l******p
发帖数: 149
29
来自主题: BuildingWeb版 - 请教一个drupal的问题
安装了image module,但是如何能在blog文章中添加图片呢?
似乎安装image assist module能解决这个问题,而这个module需要再load一个databse,
但是我租的空间最多只能load一个database,所以不能装了
谢谢
l*****a
发帖数: 166
30
来自主题: BuildingWeb版 - 网页设计问题
在jsp下我是这么做的:
index.jsp (框架网页)
module.jsp (被包含的模块,可以动态也可静态)
xxxx.jsp (任意网页)
在xxxx.jsp中forward to index.jsp?module=module.jsp ()
这样,用户看到的是xxxx.jsp, 但实际上内容由框架网页和模块组成。
在asp.net下也可以,当然在2.0中可用masterpage.
m*******e
发帖数: 14
31
来自主题: ComputerGraphics版 - 请教Ubuntu 11.10下安装OpenCV2.3.1
大家好,
我在ubuntu11.10上安装opencv2.3.1a,使用make命令后,出现错误如下:
*****************
/usr/OpenCV-2.3.1/OpenCV-2.3.1/modules/highgui/src/cap_ffmpeg_impl.hpp:921:
10: error: ‘AVERROR_NOFMT’ was not declared in this scope
/usr/OpenCV-2.3.1/OpenCV-2.3.1/modules/highgui/src/cap_ffmpeg_impl.hpp:923:
10: error: ‘AVERROR_IO’ was not declared in this scope
/usr/OpenCV-2.3.1/OpenCV-2.3.1/modules/highgui/src/cap_ffmpeg_impl.hpp:925:
10: error: ‘AVERROR_NOMEM’ was not declared in this scope
*****************
好像是ffmpeg的问题,... 阅读全帖
m*******e
发帖数: 14
32
来自主题: ComputerGraphics版 - 请教Ubuntu 11.10下安装OpenCV2.3.1
大家好,
我在ubuntu11.10上安装opencv2.3.1a,使用make命令后,出现错误如下:
*****************
/usr/OpenCV-2.3.1/OpenCV-2.3.1/modules/highgui/src/cap_ffmpeg_impl.hpp:921:
10: error: ‘AVERROR_NOFMT’ was not declared in this scope
/usr/OpenCV-2.3.1/OpenCV-2.3.1/modules/highgui/src/cap_ffmpeg_impl.hpp:923:
10: error: ‘AVERROR_IO’ was not declared in this scope
/usr/OpenCV-2.3.1/OpenCV-2.3.1/modules/highgui/src/cap_ffmpeg_impl.hpp:925:
10: error: ‘AVERROR_NOMEM’ was not declared in this scope
*****************
好像是ffmpeg的问题,... 阅读全帖
i******y
发帖数: 70
33
来自主题: CS版 - help with Programming!!!
Learn HTTP protocol and socket programming and look at the documents from
the language of your choice. (I believe every popular programming language
can do this.)
For example, python: http://docs.python.org/lib/module-urllib.html, http://docs.python.org/lib/module-httplib.html, http://docs.python.org/lib/module-urlparse.html, ....

to
I******c
发帖数: 163
34
http://ocw.mit.edu/courses/electrical-engineering-and-computer-
从0:38:45开始看
从你的例子,如果A=0010000, 那么在module wheel里每次走1/8。 如果A=0100000,
那么在module wheel里每次走1/4; 如果A=1000000, 那么在module wheel里每次走1/2
. 但是现在A=1011001,那么每次走0.68,也就是在5.5这个位置左右了。
m*******e
发帖数: 14
35
大家好,
我在ubuntu11.10上安装opencv2.3.1a,使用make命令后,出现错误如下:
*****************
/usr/OpenCV-2.3.1/OpenCV-2.3.1/modules/highgui/src/cap_ffmpeg_impl.hpp:921:
10: error: ‘AVERROR_NOFMT’ was not declared in this scope
/usr/OpenCV-2.3.1/OpenCV-2.3.1/modules/highgui/src/cap_ffmpeg_impl.hpp:923:
10: error: ‘AVERROR_IO’ was not declared in this scope
/usr/OpenCV-2.3.1/OpenCV-2.3.1/modules/highgui/src/cap_ffmpeg_impl.hpp:925:
10: error: ‘AVERROR_NOMEM’ was not declared in this scope
*****************
好像是ffmpeg的问题,... 阅读全帖
p*********d
发帖数: 136
36
来自主题: Database版 - Cognos和Crystal report学哪个好
Cognos training contains a few modules:
1. Framework Manager. This is Cognos data modeling module and application.
Getting that is a big asset for you in designing your reporting. Get this
one first.
2. Report Studio. This is Cognos' normal report authoring tool. Get this one
second. You do not have to have Framework Manager training for this Report
Studio training, but FM will set you at good competitive advantage position
if there are other people competing with you in your company.
3. Cube an... 阅读全帖
m****c
发帖数: 8
37
来自主题: DotNet版 - How to use DotNetNuke2.04
I am using DNN2.04 now. In 2.04, I don't think you need to specifiy module
path. For new module, you could package it in zip file and load it into the
website.And then when you are using this module in any tab, you could select
it from the dropdown list.
n*****3
发帖数: 15
38
新手刚开始vb.net不到一年,event handler部分还很困惑。手头有一个project, 是一
个windowsCE版的application.我的工作是把它转成windows application will be
running on win 7 os, 所有程序都不用改,只是event handler process 要改, 因为
不同os系统。
app是这样的,main form只包括一些button, click the button to open secondary
level forms, these forms will handle the sanner event, and based on the data
input, 3rd level forms could be open from some point and handle scanner
event too.
main form will just initial scanner class, no data handler. the secondary
and third level fo... 阅读全帖
n*****3
发帖数: 15
39
新手刚开始vb.net不到一年,event handler部分还很困惑。手头有一个project, 是一
个windowsCE版的application.我的工作是把它转成windows application will be
running on win 7 os, 所有程序都不用改,只是event handler process 要改, 因为
不同os系统。
app是这样的,main form只包括一些button, click the button to open secondary
level forms, these forms will handle the sanner event, and based on the data
input, 3rd level forms could be open from some point and handle scanner
event too.
main form will just initial scanner class, no data handler. the secondary
and third level fo... 阅读全帖
m********r
发帖数: 811
40
来自主题: Hardware版 - VMEbus
Jump to: navigation, search
This article includes a list of references, related reading or
external links, but its sources remain unclear because it lacks inline
citations. Please improve this article by introducing more precise citations
where appropriate. (April 2009)
VME64 crate with, from left, an ADC module, a scaler module and a processor
module
VMEbus is a computer bus standard, originally developed for the Motorola
68000 line of CPUs, but later widely used for many applications a
a******k
发帖数: 590
41
Memory
1. 4 x 1.5V DDR3 DIMM sockets supporting up to 16 GB of system memory (
Note 1)
2. Dual channel memory architecture
3. Support for DDR3 2200/1600/1333/1066/800 MHz memory modules
4. Support for non-ECC memory modules
5. Support for Extreme Memory Profile (XMP) memory modules
(Go to GIGABYTE's website for the latest memory support list.)
P*******U
发帖数: 203
42
土问下,Crucial CT51264BC1339 4GB DDR3 PC3-10600 204-pin SODIMM Notebook
Memory可以用
在Thinkpad W510上吗?我现在机器上装的是2*2GB的内存,再加上一条4GB的对性能提
升大不?
(我要常用Visual Studio和matlab这类耗内存软件),另外,总共4个插槽,2个插2GB
,1个插
4GB,另一个不插,这种不对称有影响吗?谢谢!
下面是这个内存条的参数:
4GB / 204-pin SODIMM / 1333MHz / Unbuffered / Non-ECC / DDR3-1333
In short, 204-pin small outline dual inline Memory modules (SODIMMs) are the
form
factor used to provide DDR3 memory modules for Notebook Computers and other
small
form factor computing platforms. 204-pin SOD... 阅读全帖
C********g
发帖数: 274
43
来自主题: Hardware版 - 给笔记本陪内存的问题
笔记本现在的内存是2*2G DDR3,我想换成2*4G DDR3的。原装的内存是1066的,
我可以买1333的加上去吗?这个要看哪些信息,CPU还是主板?下面是CPU和内存的信息。
多谢!
Intel(R) 5 Series/3400 Series Chipset Family
1 P916C
MODULE..., DUAL IN-LINE MEMORY MODULE..., 4GB, 1066MHZ, DDR3, 2X2G
1 H299F
Dual In-Line Memory Module, 2GB1067MHZ, 256X64, 8K, 200
n******7
发帖数: 12463
44
来自主题: Hardware版 - AMD FX 8350 vx Intel i7 3770
那这个还不能叫真8核
看这里有个讨论也是这么说
http://www.tomshardware.com/forum/334010-28-8120-true-core
Bulldozer has what AMD calls "Modules. Each module features two integer
cores and a shared floating point core. So the FX-8150 has 4 modules which
consist of 8 integer cores, each pair of integer cores shares 1 floating
point core.

发帖数: 1
45
来自主题: Hardware版 - Silicon Carbide: Smaller, Faster, Tougher
Silicon Carbide: Smaller, Faster, Tougher
Meet the material that will supplant silicon in hybrid cars and the electric
grid
Illustration: Anatomy Blue
Some technological revolutions are flashy, and some are almost invisible. We
’re quite familiar with the flashy ones; they’ve given us powerful
computers we can hold in the palms of our hands, devices that can pinpoint
our locations by way of orbiting satellites, and the ability to bank and
shop without leaving our homes.
But none of these innovat... 阅读全帖
c*****s
发帖数: 214
46
来自主题: Java版 - [转载] apache mod_jk.so 问题
【 以下文字转载自 Linux 讨论区,原文如下 】
发信人: cyclops (编程浪子), 信区: Linux
标 题: apache mod_jk.so 问题
发信站: Unknown Space - 未名空间 (Fri Jan 28 00:58:28 2005) WWW-POST
我试图把 apache 2.0.40 和tomcat 5.0.28用mod_jk 1.2.6连接起来
在httpd.conf里加入LoadModule jk_module module/mod_jk.so后启动httpd时得到这个错

Cannot load /etc/httpd/modules/mod_jk.so into server:
/etc/httpd/modules/mod_jk.so: undefined symbol: apr_filepath_name_get
毫无头绪。
我试着装了apr 1.1.0 ,没用。
会是什么原因?谢了先。
r*****l
发帖数: 2859
47
来自主题: Java版 - What is good Java software?
Modulize your design, not too fine-grined, not too coarse
Layer the modules
Remove circular dependency
Commonize shared modules
Make aggregated,sense-making API
Seperate code and configuration
And more I cannot think of right now.
A lot of people nowadays praise ruby on rails, which violates
the above rules :)
g*****g
发帖数: 34805
48
All you need is setup multiple modules, keep common logic in one or few
modules. And customized logic in its own module. If you are familiar
with Maven, that should be pretty straightforward.
w*******s
发帖数: 940
49
基本上,用maven可以解决
结构可以这样
parent
logic
db
service
client1
api
app1
app2
client2
api
app1
app2
....
在parent里,把下一的加到
client下的,也是加到modules里,
然后把logic, db, service加到api, app的dependencies里
到时候想搞client1搞client1
想搞client2就搞client2
想全搞就在parent那搞

site
首页 上页 1 2 3 4 5 6 7 8 9 10 (共10页)