由买买提看人间百态

boards

本页内容为未名空间相应帖子的节选和存档,一周内的贴子最多显示50字,超过一周显示500字 访问原贴
EE版 - 求工作推荐:Optics R&D / Process Engineer (转载)
相关主题
现在大家都不愿意等export control license是把?诚求审稿机会,半导体纳米材料/器件(nano-material, nano devices)
诚心求教半导体工作refer (转载)做CVD有前途么?
MS求内推:semiconductor process engineer or product engineer or materials engineer大家觉得PhD搞LED有前途么?
Intel or AMATPlasma Engineering
半导体设备商这块最近形势怎么样?想做点光学方面的模拟计算,大家都用什么软件?
Process Engineer Position in solar industryJob Openings in the field of Terahertz or microwave photonics
imag processing 方向 实习/工作 求推荐想quit光学,学EE。。。求建议!求建议。
求Lam Research的内推 (转载)上来碰碰运气,有GGJJ会用Zemax么?
相关话题的讨论汇总
话题: optics话题: process话题: engineer话题: optical话题: 工作
进入EE版参与讨论
1 (共1页)
f********s
发帖数: 25
1
【 以下文字转载自 JobHunting 讨论区 】
发信人: froggenius (蛙), 信区: JobHunting
标 题: 求工作推荐:Optics R&D / Process Engineer
发信站: BBS 未名空间站 (Thu May 29 16:15:23 2014, 美东)
本人刚刚PhD毕业,目前在找工作。optics和device fabrication背景,理论和实验大
概各一半。
基本背景介绍:
• Strong technical background on geometrical and physical optics,
fiber optics, silicon photonics, optoelectronics and semiconductors.
• 6 years experience in device fabrication process using lithography,
PVD, CVD, RIE and metrology tools such as ellipsometer, SEM and surface
profilometers.
• Proficient in optical modelling software such as Zemax, Lumerical
and Comsol for optical system and device design.
• Strong Matlab programming skills and some experience of Labview.
觉得适合自己的工作包括Optical R&D的职位(Maxim,JDSU,Avago等),Lam research,
AMAT的Process Engineer, 以及Kla和Nanometrics这类metrology公司的application
engineer.
我没有身份,而且这里大农村相关工作机会非常少。我6月8号到14号会去San Jose参加
CLEO会议。如果湾区有合适的职位,希望可以借这个机会做个onsite interview。十分
感谢。
c*********8
发帖数: 75
2
同求,跟楼主背景差不多,本人偏waveguide, resonator些。

,

【在 f********s 的大作中提到】
: 【 以下文字转载自 JobHunting 讨论区 】
: 发信人: froggenius (蛙), 信区: JobHunting
: 标 题: 求工作推荐:Optics R&D / Process Engineer
: 发信站: BBS 未名空间站 (Thu May 29 16:15:23 2014, 美东)
: 本人刚刚PhD毕业,目前在找工作。optics和device fabrication背景,理论和实验大
: 概各一半。
: 基本背景介绍:
: • Strong technical background on geometrical and physical optics,
: fiber optics, silicon photonics, optoelectronics and semiconductors.
: • 6 years experience in device fabrication process using lithography,

y*****i
发帖数: 222
3
哥们加油,呵呵,我和你差不多,上周面了一个给挂了,郁闷啊
1 (共1页)
进入EE版参与讨论
相关主题
上来碰碰运气,有GGJJ会用Zemax么?半导体设备商这块最近形势怎么样?
光电方向硕士求推荐实习或者volunteer挂靠optProcess Engineer Position in solar industry
EE光电方向的MS希望申CS的PhD 无相关背景, 求建议 (转载)imag processing 方向 实习/工作 求推荐
Job Opportunity : Optical Design Engineer求Lam Research的内推 (转载)
现在大家都不愿意等export control license是把?诚求审稿机会,半导体纳米材料/器件(nano-material, nano devices)
诚心求教半导体工作refer (转载)做CVD有前途么?
MS求内推:semiconductor process engineer or product engineer or materials engineer大家觉得PhD搞LED有前途么?
Intel or AMATPlasma Engineering
相关话题的讨论汇总
话题: optics话题: process话题: engineer话题: optical话题: 工作