boards

本页内容为未名空间相应帖子的节选和存档,一周内的贴子最多显示50字,超过一周显示500字 访问原贴
EE版 - verilog 的问题
相关主题
请教个verilog问题
A question about HFSS
请教一个关于verilog delay的问题
问个verilog阻塞和非阻塞的问题
求助加讨论:面试时候问的Verilog题目
Re: VLSI and Cadence
Re: 给推荐两本好书吧(VHDL/Verilog)
Re: sigh, 一个搞communication的哥们也要被layoff了
Re: VHDL vs. Verilog
Re: 什么是 firmware?
相关话题的讨论汇总
话题: ila话题: data话题: b0话题: assign话题: 127
进入EE版参与讨论
1 (共1页)
a*****8
发帖数: 261
1
在verilog 里,如何付零??
比如:
wire [127:0] ila_data;
assign ila_data[0] = 1'b0;
assign ila_data[1] = 1'b0;
assign ila_data[127:2] = 0;
不知道最后一句话对不对,是不是应该写成:
assign ila_data[127:2] = {126{1'b0}};
请高手指点。谢谢。。
e****y
发帖数: 27
2
Just do
assign ila_data = 0;
o********s
发帖数: 66
3
右边应该写成126'b0吧。
意思是126位binary number 0
o****m
发帖数: 633
4
比较认同这个写法。
assign ila_data[127:0] = {128{1'b0}};
因为很容易把参数抽出来。
assign ila_data[ILA_DATA_WIDTH - 1:0] = {ILA_DATA_WIDTH{1'b0}};
或者 再定义一个参数。
parameter INIT_ILA_VAL = {ILA_DATA_WIDTH{1'b0}};
assign ila_data[ILA_DATA_WIDTH - 1 :0] = INIT_ILA_VAL;
s****o
发帖数: 21
5
simple one ( for verilog2001 ):
wire [127:0] ila_data = 128'b0;
1 (共1页)
进入EE版参与讨论
相关主题
Re: 什么是 firmware?
求助:如何用verilog设计 8-bit squaring ROM
verilog 问题求教
ic design or signal processing?
请问哪位有好点介绍VHDL的电子书
求助:verilog的modulus operator
请问做VERILOG/VHDL Simulation 的时候能否调用C/C++ function?
请教转专业做ic design的MS找intern的经验
请问谁有Verilog HDL 高级数字设计的电子版答案?
请教大家一个VHDL和VERILOG的问题
相关话题的讨论汇总
话题: ila话题: data话题: b0话题: assign话题: 127