由买买提看人间百态

boards

本页内容为未名空间相应帖子的节选和存档,一周内的贴子最多显示50字,超过一周显示500字 访问原贴
EE版 - Graphics ASIC Verification opennings (转载)
相关主题
虚心请教:搞实时+嵌入系统怎么职业规化呢?asic verification 面试问点什么呢?
为什么学硬件这么难找工作呢!!求建议 ASIC ENGINEER 的面试要准备什么
Digital IC 都能找那些公司啊现在FPGA/VLSI的就业情况怎么样或以后前景
还要继续ee phd吗?Verilog vs VHDL
有没有人觉得做VLSI的EDA就像是给别人打下手的感觉Job opening: ASIC design verification engineer
请教大家一个方向的问题南加招聘FPGA/ASIC Design Engineer,站内信箱联系
请问最近无线通信的博士毕业生都找什么样的工作了恳求工作内推: Electrical and Computer Engineering方向
喜欢ASIC VERIFICATION ENGINEER这个方向恳求工作内推: Electrical and Computer Engineering方向
相关话题的讨论汇总
话题: asic话题: graphics话题: opennings话题: verilog
进入EE版参与讨论
1 (共1页)
m****g
发帖数: 810
1
【 以下文字转载自 SanDiego 讨论区 】
发信人: maoing (SABRE), 信区: SanDiego
标 题: Graphics ASIC Verification opennings
发信站: BBS 未名空间站 (Wed Apr 14 02:43:22 2010, 美东)
Our group has several Verification opennings for Graphics (2D/3D) ASIC.
Prefer handson skills but may also consider excellent entry level candidates
. Will be exposed to Vera/System Verilog/VHDL/Verilog/OOP/RVM environment.
Required to be relocated to San Diego, CA if not local.
1 (共1页)
进入EE版参与讨论
相关主题
恳求工作内推: Electrical and Computer Engineering方向有没有人觉得做VLSI的EDA就像是给别人打下手的感觉
恳求工作内推: Electrical and Computer Engineering方向请教大家一个方向的问题
最后一次恳求工作推荐: EE--------Digital Logic Design/VLSI/请问最近无线通信的博士毕业生都找什么样的工作了
学vhdl fpga 好找工作不喜欢ASIC VERIFICATION ENGINEER这个方向
虚心请教:搞实时+嵌入系统怎么职业规化呢?asic verification 面试问点什么呢?
为什么学硬件这么难找工作呢!!求建议 ASIC ENGINEER 的面试要准备什么
Digital IC 都能找那些公司啊现在FPGA/VLSI的就业情况怎么样或以后前景
还要继续ee phd吗?Verilog vs VHDL
相关话题的讨论汇总
话题: asic话题: graphics话题: opennings话题: verilog