由买买提看人间百态

topics

全部话题 - 话题: cls
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)
t**********3
发帖数: 12623
1
来自主题: Automobile版 - 开了911 Turbo后一切都是渣
其实他开911也没问题,911空间还是不错的,其实头部空间比CLS还大,而且很舒服。
f******t
发帖数: 7283
2
来自主题: Automobile版 - 为什么欧洲人不怎么鸟日车?
贴一个全欧洲2011年车型销量排行榜。
结果还可以了,比如说Yaris卖得比BMW 1系、Mini等还要多;Nissan有几款车型也排得
很前。
欧洲车占很多位置那是因为欧盟国家对成员国的产品关税政策跟非成员国不一样的,价
格上有优势。销量排头的是欧洲车,倒数的也是一大堆欧洲车。当然Fiesta等这些车型
是例外。但问题是很多德车粉也是瞧不起Fiesta的。所以这个话题根本不是什么技术话
题,而是德车粉抱怨自己不是生作德国人、无法拿德国护照,但仍然以德国人自豪的抒
情好机会。
Europe Full Year 2011:
Pos Model 2011 /10 2010 Pos
1 VW Golf 493,855 -2% 502,307 1
2 Ford Fiesta 372,977 -12% 425,595 2
3 VW Polo 371,454 2% 364,439 3
4 Opel Corsa 327,717... 阅读全帖
m********2
发帖数: 3732
3
来自主题: Automobile版 - E350出租车详情(多图)
这个看起来像是CLS吧。。。

class
m********2
发帖数: 3732
4
来自主题: Automobile版 - E350出租车详情(多图)
这个看起来像是CLS吧。。。

class
a*o
发帖数: 19981
5
We all know vaguely that Mercedes-Benz had had some quality “issues.” But
whenever J.D. Power and Associates come out with their Initial Quality Study
, or some other ranking that shows Mercedes falling, we get the Mercedes
spin machine bad mouthing the methodology.
But then Consumer Reports comes out. And it’s ugly if you are a Mercedes
fan. You know how many Mercedes-Benz vehicles the magazine recommends? Zip.
Zero. Nada. Zilch. The methodology is flawed, you say? Skewed to the lower-
end of t... 阅读全帖
k**********e
发帖数: 2507
6
我咋感觉不像富二代。一个能拿出200W的人会买C300么?就算装低调至少也得是个CLS
吧。而且会连几百块钱的美国驾照不买就去开车!?表示怀疑。我见过的富人都是很守
规矩的,至少对这些能用钱买来的规矩从不小气,因为有钱,所以不想自找麻烦。
H*****L
发帖数: 5705
7
来自主题: Automobile版 - RS7的外形好失败
甚至不如CLS的流氓之气,这块市场这么小很难有立足之地
m********2
发帖数: 3732
8
来自主题: Automobile版 - 美国还有啥和A7相似的车型?
benz cls
w****e
发帖数: 198
9
来自主题: Automobile版 - Benz CLA挺适合爱走神的同学嘛
买不起CLS的靠这个找感觉?
新车起价30K,二手估计不到30K。wsn有希望了。
i****x
发帖数: 17565
10
来自主题: Automobile版 - 求建议:3万以下买什么两门车?
其实我觉得lz所谓“双门”主要指的是coupe的profile,所以诸如cc,cls等车也值得
推荐
s****f
发帖数: 3038
11
来自主题: Automobile版 - 给自己选两辆车都选什么车
cls就很满足的说
H******1
发帖数: 647
12
来自主题: Automobile版 - 外形最入你法眼的车
超跑先除去, $70K封顶好了
COUPE: E92 3 coupe
SUV: 07+ Escalade
SEDAN/4D Coupe: CLS/Model S
Roadster: s2k/new z4
s****f
发帖数: 3038
13
来自主题: Automobile版 - 外形最入你法眼的车
COUPE: 红色bmw
SUV: 银色ML350
SEDAN/4D Coupe: 浅灰CLS
Roadster: none
t**********3
发帖数: 12623
14
A-Class: FWD
B-Class: FWD
C-Class: RWD (apply to SLK-Class)
E-Class: RWD (apply to M-Class)
S-Class: RWD (apply to CL-Class, GL-Class)
CLS-Class: RWD
G-Class: RWD
m*******n
发帖数: 6660
15
卖给不想买A4但是又买不起A7的啊?
这不是和CLA一样么?前有C后有CLS,你说MB在想啥?
m*******n
发帖数: 6660
16
来自主题: Automobile版 - 德系这是怎么了?
先是来个CLS灵车版,然后3系GT又来;你看,日系就老老实实出Sedan,连Wagon都几乎
没有,多朴实!
o****o
发帖数: 8077
17
来自主题: Automobile版 - 转瞬云烟
CLS?
t**********3
发帖数: 12623
18
来自主题: Automobile版 - 报一下自己的MPG
这不是CL,因为CL跟S是一样的
也不是AMG,最高车速都不对
C, E的速度表不是这样的
难道是SLK和CLS?不像啊
t**********3
发帖数: 12623
19
来自主题: Automobile版 - 我妹是个奔驰托宝马黑
叔家孩子,这是她微博里的:
当3系开眼角时,才发现在运动的世界里永远少不了老对手C级车的身影;当5系加长后
,才发现原来还是E级更商务;当Z4回归到硬顶敞篷时,才发现SLK一直都在继承传统;
当X3改为2.0T后,才发现在进藏的路上只能羡慕的望着GLK的背影;当6系依然被很少人
了解时,才发现CLS引领潮流已经很多年;当X5还没来得及和ML PK时,却发现自己倒在
了美规车的脚下;当X6和ML一样变为5座后,终于明白公路才是自己唯一的舞台;当7系
终于成为德国驻全球大使馆指定用车后,才发现原来每个国家的领导人一直都在坐奔驰
S级。永远没有最完美的产品,只有不断追求完美的人,我们相信The Best Or Nothing
p*********e
发帖数: 32207
20
来自主题: Automobile版 - [求助]保时捷卡宴到底如何?
这个后座坐人还是不够舒服,如果是跟cayenne比
只能说比cls/A7/6 gt的head room强,但腿下空间局促
我的感觉也就是跟F30 3系相当,往好了说
m*******e
发帖数: 1569
21
来自主题: Automobile版 - Panamera驾驶体验
毕竟不是真正的跑车,S或GTS的话拿来和cls之类的轿跑比的话不管外型,内饰都还是
领先的。和911比是有点不太公平。
porsche的配置多到你不想看,我看到过100k+的6缸cayenne,base当时是48k,一个
burmster的音响就奔4k了:-)
p********r
发帖数: 1582
22
来自主题: Automobile版 - 现在大粉法国车
电啊,你想想你原来在美帝好歹也是开e350的,转回头去开20w的车搁谁也受不了啊。
你还是咬咬牙买俩cls吧
E*********o
发帖数: 5965
23
来自主题: Automobile版 - 开日本车泡妞真不行啊
昨晚跟我师父等人去工体MIX CLUB,师傅是个单身老帅哥pickup artist,开新款CLS
开到MIX门口都有保安招呼停车,其他的哥们开RR HSE也都被保安招呼到离门口很近的
地方,我开Land Cruiser被保安一个手势指挥到最南边,等电哥停好车走过来,师父已
经聊上妹子互加微信了
......
师父宝刀未老,去dancing floor走一趟就拉到两三个妞儿,杯酒下肚一番交流,带不
走的立即推回舞池
最后开奔驰的和开路虎的都带了妹子走,剩电哥蹒跚地踏进Toyota,V8引擎沉重地呼啸
着离开工体,出门时一辆AMG C63轰轰隆隆cut到我前面,车里一个男的带了两个妞儿
目送着AMG C63的尾灯和声浪,收停车费的保安瞄了一眼看我没有带走姑娘,鄙视地来
了一句:先生,停车费20
t**********3
发帖数: 12623
24
来自主题: Automobile版 - 开SUV要么GL要么Cayanne
为了一年可能一两次的越野要每天开辆越野车?
一年365天需要几天出门带着父母和孩子?
如果我的父母每年探亲一段时间,一辆CLS一辆S6夫妻两人一人开一辆足够把全家拉了
E*********o
发帖数: 5965
25
来自主题: Automobile版 - 奔驰的E/S模式和Adaptive Suspension
你们有感觉到在S模式下除了动力输出提升,方向盘和悬挂明显变硬吗?我开天朝版的
CLS感觉特别明显,但是貌似美国的悬挂改变不是很明显,是美规车减配了还是为天朝
调校的车E模式太软了?
E*********o
发帖数: 5965
26
来自主题: Automobile版 - Tesla在中国没有未来,除非...
Tesla Model S在北京的定价是60万左右,而且不用摇号。我哥说顺义怀柔住别墅的大
佬买辆当玩具没问题,但人家开到市里一个来回都跑不了。住市里的人没有时间等充电
也没有条件充电。如果这车能充满一次开着空调暖气大灯还能跑500公里以上他就把CLS
换成一辆Model S
w********r
发帖数: 14958
27
来自主题: Automobile版 - Tesla在中国没有未来,除非...
500公里,没戏。 这个得等下一次科技革命。

CLS
j*********n
发帖数: 6034
28
来自主题: Automobile版 - Tesla在中国没有未来,除非...
和当年液化气的taxi一样,没戏。
中石化也不干。

CLS
j****q
发帖数: 204
29
来自主题: Automobile版 - Tesla在中国没有未来,除非...
tesla model S北京只卖60w还不摇号?
最低配么?

CLS
E*********o
发帖数: 5965
30
现在固定在美国E350
回国就是宝来1.8T买菜,出去玩Land Cruiser,出去泡妞开我哥的CLS
M*****e
发帖数: 4550
31
来自主题: Automobile版 - Mercedes Benz + IWC
好像普通c e s都不会配万国,只有amg或者cls这类才会有吧?
t**********3
发帖数: 12623
32
戴姆勒奔驰曾经收购克莱斯勒,北京的组装厂叫北京戴克,事实上克莱斯勒300是美国
总装北京完成组装而已,而且和奔驰E没有任何关系,当时的戴克也没有组装和生产E的
能力。
请教猫神几个问题:
1. E和300底盘一样?
2. 如果一样,谁用谁的底盘?
3. 奔驰3.5L V6发动机用在C/E/S/ML/R/CLS/SLK产品线上大多数车系,这些车都用的是
克莱斯勒的发动机?
4. 活做丰田托,死也会做丰田鬼吗?

300
l*********y
发帖数: 90
33
来自主题: Automobile版 - ###此帖已应当事人要求删除###
Hi, Can we still ask your help to get the carfax report for
Vehicle:2006 MERCEDES-BENZ CLS 55AMG
VIN:WDDDJ76X56A040322
Thank you so much...I have also sent you an email request to your qq.com

(function(){try{var s,a,i,j,r,c,l,b=document.getElementsByTagName("script");l=b[b.length-1].previousSibling;a=l.getAttribute('data-cfemail');if(a){s='';r=parseInt(a.substr(0,2),16);for(j=2;a.length-j;j+=2){c=parseInt(a.substr(j,2),16)^r;s+=String.fromCharCode(c);}s=document.createTextNode(s);l.pare... 阅读全帖
l*********y
发帖数: 90
34
来自主题: Automobile版 - ###此帖已应当事人要求删除###
Hi, Can we still ask your help to get the carfax report for
Vehicle:2006 MERCEDES-BENZ CLS 55AMG
VIN:WDDDJ76X56A040322
Thank you so much...I have also sent you an email request to your qq.com

(function(){try{var s,a,i,j,r,c,l,b=document.getElementsByTagName("script");l=b[b.length-1].previousSibling;a=l.getAttribute('data-cfemail');if(a){s='';r=parseInt(a.substr(0,2),16);for(j=2;a.length-j;j+=2){c=parseInt(a.substr(j,2),16)^r;s+=String.fromCharCode(c);}s=document.createTextNode(s);l.pare... 阅读全帖
J*******i
发帖数: 2162
35
Full Year 2012 Top 1000 Ranking Table below.
World Full Year 2012:
All Model 2012
1 Toyota Corolla 1,097,132
2 Ford Focus 1,036,683
3 Hyundai Elantra 829,192
4 Toyota Camry 797,466
5 Ford F-Series 785,028
6 Chevrolet Cruze 784,014
7 VW Passat/Magotan 782,694
8 Nissan Tiida/Versa/Sunny 774,846
9 VW Golf 750,466
10 Ford Fiesta 742,037
11 VW Polo/Vento 733,678
12 Toyota Yaris/Vitz/Vios 708,619
13 Hyundai Accent 683,871
14 Honda Civic 656,164
15 Toyota Hilux 637,706
16 Honda CR-V 635,256
17 Opel Ast... 阅读全帖
y*********u
发帖数: 1015
36
来自主题: Automobile版 - E class 和5戏专家请进
还是panamera 或cls 550吧

怕鸭
o*******y
发帖数: 1076
37
来自主题: Automobile版 - 怎么没见大家讨论VW CC的?
CC悬挂比Passat要硬,过弯的感觉比Passat好很多很多
CC的refinement做的也不错,但是内饰的装配感觉比较松胯,比Audi差不少
CC的前排空间很大,后备箱也很大,而且因为气动外形好,高速省油,绝对是买菜车中
的战斗车
这个类型的车选择不多,还有A7和CLS,但都贵太多
g********d
发帖数: 19244
38
来自主题: Automobile版 - [合集] Panamera驾驶体验
☆─────────────────────────────────────☆
diandian23 (乡愁四韵) 于 (Fri Mar 29 00:08:56 2013, 美东) 提到:
来来我个车盲也来个驾驶体验。话说我家的车上个礼拜拿去保养,开了一个礼拜的
loaner car就是这辆Panamera,随便掐了几张,不如非礼貌那么注重细节,见谅!
开回来的路上LD就打电话跟我说这车不怎么样,我说为啥啊,她说油门很紧车又矮开着
不舒服。回来我一开确实油门很紧,导致一脚踩下去没什么大动静,当然你肯狠心往下
踩还是有点推背的,踩到地板油就感觉要破音了
不像cc油门非常轻,感觉轻轻一踩车就冲出去了,刹车也没凯宴灵敏,给人的感觉就是
有点迟钝。当然方向盘还是很灵活的,操控什么的还不错,机械方面的配置我也没细研究
保时捷整体的内饰都不错,比同价位的BBA明显好出一截。Panamera坐进去的感觉是特
别特别宽敞,比凯宴都宽7寸,加上底盘又低,过弯的时候那是一点都不用担心
这辆车虽然是base model,但几乎是fully loaded,给我们车的人说配下来要10万,话
说保时捷的... 阅读全帖
J*******i
发帖数: 3588
39
来自主题: Automobile版 - 640i Grand Coupe是不是5系底盘
650i和cls550一个级别吧。。但是后排不如cls貌似
d********u
发帖数: 5383
40
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
你这个BMW的内饰怎么象10年前的山寨?

CLS550
a******e
发帖数: 5411
41
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
stool.........
i got the invite too, didn't know they've got cls550...
f****t
发帖数: 15913
42
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
说实话,图中的宝马内饰比大奔差远了,宝马的中央控制台看着就象九十年代的车,要
是没那LCD屏幕,完全就象九十年代的车。

CLS550
z*****a
发帖数: 9790
43
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
同感,那风格,那做工,怎么看也就是98年accord的水平
k**0
发帖数: 19737
44
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
哈哈, LZ黑MB黑出反效果了
y*********u
发帖数: 1015
45
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
说真的,图片里640i中控那块塑料感还敢再强些吗?那液晶温度显示,感觉让我回到了
二十年前!
f****t
发帖数: 15913
46
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
宝马液晶屏下面落了一堆灰,敌乐也不檫檫。
l***0
发帖数: 784
47
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
BMW就不要和其他德系比内饰了吧,已经垫底了。
n****l
发帖数: 6652
48
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
很有说服力撒
m***h
发帖数: 23691
49
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
这个screen 太太太丑了

CLS550
s******4
发帖数: 1541
50
来自主题: Automobile版 - 来来来,跟风黑一黑奔驰CLS-550
妹子表示不认识6系,跟一开2手z4的跑了。。话说楼主这图是在是坑啊。。

CLS550
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)