由买买提看人间百态

topics

全部话题 - 话题: 20nm
1 2 3 4 5 6 7 下页 末页 (共7页)
r******y
发帖数: 3838
1
去年苹果与三星专利战正酣时,业内纷纷传言苹果将放弃三星转而使用台积电作为移动
处理器的代工商。近来这一在新iPad等产品推出后沉寂的话题传言再起,据台湾《电子
时报》援引业内消息来源称,台积电目前已经派出了强大的团队,包括销售代表、设计
人员、技术支持人员等自2012年中期开始常驻美国,向潜在的客户大力推广该公司下一
代20nm制程工艺,其最大目标不言而喻就是苹果。
消息来源指出,台积电将在2013年底时进入20nm制程节点的试验性生产阶段。此外
近期苹果三星专利战升级也使得前者下定决心减少从三星采购,摆脱其在供应链方面的
制约。
之前台积电董事长张忠谋表示,该公司已经投资大约7亿美元以建造20nm研发生产
线,预计2012年底即可完工,比此前规划的2013年略有提前。张忠谋还称,向20nm制程
的过渡会比28nm时代速度更快也更顺利,他宣称台积电确定20nm制程的第一波客户甚至
比28nm制程还要早。
2012年早些时候,张忠谋对投资者暗示,台积电目前已经将三星视为业内的最大竞
争对手。
http://www.olympc.cn/server/s167/2012/0715/14959... 阅读全帖
p********y
发帖数: 181
2
I am developing an alternating magnetic field (AMF) device to induce
hyperthermia in SPION of ~20nm size. The SPION is based on Fe3O4 (magnetite?)
Many papers demonstrated that AMF of 80--150KHz is effective for heating
SPIONs of smaller than 35nm, in tissue.
Many other works, particulaly theory-works, indicated that ~Mhz range should
be more effective for particles smaller than 20nm.
Is there any expert out there who could give me some suggestions for the AMF
frequency needed to maximize the he... 阅读全帖
n***c
发帖数: 7400
3
据报道AMD明年代号“北极群岛”的GPU家族将完全跳过有问题的20nm工艺节点,北极群
岛系列GPU将直接采用14nm FinFE工艺生产,希望实现更高的效率。目前,市场上所有
的GPU都是28nm工艺制造,无论是AMD还是NVIDIA产品。AMD未来数月内即将推出的Rx
300系列GPU,也将采用28nm工艺,因为TSMC台积电20nm工艺节点存在问题,投产已经数
度推迟。
在这个阶段,外界还不清楚AMD是否会坚持让台积电使用14nm FinFET工艺来生产
GPU,或者AMD会切换到英特尔或三星来进行代工,这两家公司都有运营的14nm工艺节点
。目前该节点被用于生产CPU,SoC和NAND产品,但预期可以很好地适应GPU制造。
考虑到北极群岛产品距离目前有2代产品之遥,目前缺乏它细节信息。但是,随着
转向14nm制造工艺,这些显卡都有望具有低TDP功耗,类似于NVIDIA现在的Maxwell显卡
。另外, “格陵兰岛”是北极群岛的旗舰GPU,它配备了先进的设计,采用SK海力士
HBM2内存。
不过AMD现在的重点是顺利在六月Computex大会上推出Rx 300系列GPU。
a***e
发帖数: 27968
4
3*的14看着忽悠得很,不小心性能还不如20nm
全球代工也不是啥靠谱公司
200M的芯片估计需要不到2M片的产能,每天5000片要400天
尼玛3*没产能独吞
A9这架势可以是两版A9给3*
A9X给台基正好时间赶上
s****c
发帖数: 11300
5
不过其他的公司想用20nm产能估计就没戏了 apple一家就能全部吃下了
想买显卡的现在就买吧 一时半会不会出新产品了
s****c
发帖数: 11300
6
买断是不可能的 商业风险太大
不过优先级是有的 这个就是具体运作了
对于tsmc来说 20nm上arm的小芯片比上amd或者nv的显卡大芯片成功概率高多了
但是弱点是tsmc以前没有太多cpu流片的经验 话又说回来 tsmc的作风是我只管流片 怎
么设计我不管
c**i
发帖数: 6973
7
(1) Kathrin Hille, Lenovo Starts Emerging Markets Plan to Export Its Rural
Expertise. Financial Times, Apr 26, 2011 (title in print).
http://www.ft.com/cms/s/2/85b7d50a-6f64
-11e0-952c-00144feabdc0.html
(In China, Lenovo has "gain[ed] a presence in smaller cities and towns where
PC demand is growing at a far faster pace than in the largest cities. The
strategy has helped Lenovo grow faster than any other PC vendor for five
consecutive quarters")
My comment:
(a) The secret of Lenovo's success is ... 阅读全帖
J*********n
发帖数: 6974
8
因为三星给了GlobalFoundries 14nm的技术。。。
现在高通在20nm节点上也不准备于台积电合作了,改而去找了三星电子、GF。
台积电要傻眼了,不过台积电拿了apple的大单,apple成为台积电的第一大摇钱树。
不知道NV会不会改换门庭?估计不太会。因为对黄总来说,他还是最信得过台湾人。韩
国棒子会在制造中偷技术。
a***e
发帖数: 27968
9
3*给技术?太阳从北边升起了?
AMD早就想给GF GPU了,只不过这些年AMD上了SOI的贼船,再上gate first
坑爹连连,ATI原来就是bulk和SOI不太对付
不过就GF那洗钱的架势20nm猴年马月才能搞定
J*********n
发帖数: 6974
10
不是说三星授权了14nm的技术给GF了么。。。年底三星授权GF的14NM就量产。。。
当然凭GF自己,估计 20nm的都难搞定。
不知道三星技术生产的AMD CPU会不会上一个台阶~~~
http://www.expreview.com/32832.html
t******e
发帖数: 2504
11
挑战最大的时候,是这个世纪初的中芯国际(SMIC), 那时SMIC在这个行业中紧追台积
电(TSMC), 排第4。
后来就不行了,越拉越落后,到2010年之后才稳定下来,现在中芯国际是28nm量产,
20nm试产, 国内最先进水平。
这个1年前的图可以直观地看到中芯国际SMIC在行业中的地位,整个图已经夸大了SMIC,
在20nm那栏把SMIC放进来了, 实际上直到到现在也没有量产。
另外, 三家顶尖企业,现在是10nm量产,7nm试产。
如果以量产为标准,10nm是第1代,14nm是第2代,20nm是第3代,28nm就是第4代了,中
芯国际目前落后4代。
如果以试产产为标准,7nm是第1代,10nm是第2代,14nm是第3代,20nm就是第4代了,
中芯国际目前还是落后4代。
c**i
发帖数: 6973
12
来自主题: Hardware版 - Toward Gate-Last Metal Gate?
(1) Latest news first.
(a) Richard Swinburne, IBM and GlobalFoundries go Gate-Last for 20nm. bit-
tech.net, Jan 20, 2011.
http://www.bit-tech.net/news/hardware/2011
/01/20/ibm-and-globalfoundries-go-gate-last-for-20/1
(b) Joel Hruska, IBM, GlobalFoundries Flipflop On 20nm Production Technology
http://hothardware.com/News/IBM-GlobalFoundries
-Flipflop-On-20nm-Production-Technology-/
Summary: IBM and Globalfoundires insists on gate first for 32/28nm but will
move to gate last for 22/20nm, the latt... 阅读全帖
e**n
发帖数: 1326
13
来自主题: _Auto_Fans版 - 对EVO有研究的进来给说说
我的理解是torsen里的worm gear/wheel不是严格单向传递扭矩的.
也就是说在torsen里的设计其实worm wheel可以驱动worm gear。但是和碳大说的一样
,由于齿槽的角度,反过来驱动的时候轴向力非常大,这个轴向力乘以摩擦系数(方向
成为切向力),就是torsen相对open diff能额外转移的扭矩.
举个具体的例子,如果右轮上了冰面,稳态打滑并只能提供20nm的扭矩。这个20nm的扭
矩从右worm gear到右worm wheel被放大到了100nm (假设worm gear转五圈worm wheel
转一圈)。这个100nm通过spur gear传到左边的worm wheel, 于是左边的worm wheel尝
试用100nm去驱动左边的worm gear.
关键的地方来了,如果worm gear/wheel摩擦系数为0,用左worm wheel的100nm驱动左
worm gear, 左worm gear 只会得到20nm的扭矩 => open diff。
但是如果摩擦系数为u,除了这20nm的来自于几何的扭矩之外,worm gear/wheel之... 阅读全帖

发帖数: 1
14
知乎周带鱼的帖子
宁南山:2019战斗开始–奋力行军的中国存储器产业
今天我们来聊聊存储器,存储器不仅是我国进口集成电路的大头,而且在全球半导体市
场占有举足轻重的地位。
以2016年为例,全球半导体的销售额之和为3389.31亿美元,其中集成电路为2766.98亿
美元,占82%(注意集成电路是半导体的一部分)。但看存储器的话,市场为780-800亿
美元左右,占全球半导体市场的23%,是仅次于逻辑电路的第二大产品。
资料来源:Wind
在存储器这个领域,韩国人是毫无疑问的全球霸主,
在DRAM领域(也即是手机里的1G,2G,4G….内存),韩国拥有压倒性优势,三星+海力
士占了全球份额80%;
在NAND FLASH领域(也就是手机里的32G,64G,128G…..内存),三星+海力士也占了全球
份额50%-60%
韩国的三星和海力士,也凭借着在存储器领域的出色表现,成功把韩国送进了世界半导
体强国的行列。
以2016年全球半导体20强的营收为例,
前20强中美国公司营收总和遥遥领先1197亿美元,世界第一;
2016年韩国三星+海力士两家营业收入为587亿美元,仅次于美国位居世界... 阅读全帖
t******e
发帖数: 2504
15
UMC扯蛋了。
台湾的原来的25nm DRAM似乎是来自日本,但被Micron买下来了,就属于Micron, 另外
, Micron买下台湾厂之后,对生产线升了级,一个厂改成20nm, 另外一个厂改成1X
nm 。
从台湾检方公布的情况看,被抓住是20nm那个厂的工程师,就有25nm全套资料,还有升
级到20nm的全套资料。
至于10几个nm那个厂(1X),是否已经泄密,目前还没有证据,不过,就凭micron在台
湾的这种松散的技术监督制度,估计也够呛。
w********2
发帖数: 632
16
细数华为海思芯片和ARM内核
半导体行业联盟 • 2018-09-10 09:15 • 3779次阅读 0
很多人对于华为海思芯片非常感兴趣,相关的讨论争论自然也不会少,在论坛上有时候
也会看到。有人把它吹上天,也有人说它毫无技术含量。我看完之后痛心疾首,觉得很
多人说的很多方面都是不对的。所以献上此文,客观介绍一下芯片的设计制造流程。
卖弄前先自我介绍顺便声明一下,本人海思新员工,但不从事芯片设计类岗位,只是最
近听过一个关于芯片的培训,再加上本人对芯片如何实现等问题也比较好奇,所以搜集
过一些非官方、不科学资料,发表一下浅鄙之见。
一、工艺制程并不是越小越好
OK,废话不多说,对于芯片,先说一些自己感兴趣的,可能涉及海思的不多。经常能听
到有人争论40nm工艺、28nm工艺,14nm工艺,那么这个多少nm指得是什么呢?
它指的是mos管在硅片上的大小,mos管就是晶体管,它是组成芯片的最小单位,一个与
非门需要4个mos管组成,一般一个ARM四核芯片上有5亿个左右的mos管。世界上第一台
计算机用个是真空管,效果和mos管一样,但是真空管的大小有两个拇指大... 阅读全帖
s***d
发帖数: 15421
17
有28 nm。 22nm是个joke,tsmc直接跳过这个node 去16nm了,没有人会用20nm的。
20nm 有些瑕疵,也就intc的cpu勉强能用,别的application 用20nm trigate基本是
design impossible。现在热门的是sub 10nm 是用nano wire hkmg了。
f*******2
发帖数: 341
18
高通狠狠被打脸!骁龙810彻底悲剧
一提到骁龙810,你的第一印象绝对不是它性能有多强,而是发热解决了吗,温度高到
能煎鸡蛋吗等等,高通虽然多次否认,但现实总是一次次打了他们的脸。
SONY Z4处理器的问题让高通不得不再次默默承受骁龙810带来的悲剧,对于骁龙810,
索尼表现的有点无奈,因为他们使用的是骁龙810已是修改版,但还出现这样的问题,
真的是让人无语。
现在,有消息人士爆料称,最近每一家用高通8994(骁龙810)的手机厂,全部都在下
调出货预测,从日本,台湾,到北京,深圳。
此外,该消息人士还强调,骁龙810产品的质量问题,是影响销量的关键,连带受害的
就是台积电,因为8994是用台积电20nm的工艺,而且Apple的20nm A8也过了出货旺季了
!所以TMSC 20nm的产能也空了起来!
看到这样的结果,三星无疑是开心的,自家14nm Exynos 7420处理器表现非常不错。
S******n
发帖数: 5022
19
2014年台积电都没有安排AMD 、NV 20nm工艺的显卡流片,看来20nm显卡至少要等到
2015年才能面世。
28nm -> 20nm, 同等面积晶体管数量可以翻倍吧。估计到时显卡就超过6000 cuda了。
q*p
发帖数: 963
20
AMD完全放弃20nm转入14nm
AMD表示已经将全部的20nm芯片设计转向了FinFET工艺,而为此付出的代价是3300万美
元的一次性费用,毕竟此前的20nm芯片设计都白费了,需要针对FinFET工艺重新来一遍
p********y
发帖数: 181
21
I am developing an alternating magnetic field (AMF) device to induce
hyperthermia in SPION of ~20nm size. The SPION is based on Fe3O4 (magnetite?)
Many papers demonstrated that AMF of 80--150KHz is effective for heating
SPIONs of smaller than 35nm, in tissue.
Many other works, particulaly theory-works, indicated that ~Mhz range should
be more effective for particles smaller than 20nm.
Is there any expert out there who could give me some suggestions for the AMF
frequency needed to maximize the he... 阅读全帖
h**s
发帖数: 19
22
来自主题: Macromolecules版 - A Question About AFM, many thanks!
我是AFM的新手,我用AFM Tapping Mode 作 蛋白在高分子膜上的吸附,发现相图会有反转

有时我会看到Particle(10-20nm,亮点),有时我会看到一个大圈(40-50nm,稍稍比周围
亮一点,中间有个10-20nm的暗点)。更恐怖的是,有时会看到一个大圈(40-50nm,稍稍比
周围亮一点,中间有个10-20nm更亮色的小点)。
这些结构都是reproducible而且ex-changeable。我只变化Amplitude
SetPoint:当SetPoint占最大电压比例高时,相图上是particle。SetPoint低时,相图是
圈。可是圈中间是暗点还是亮点似乎没有规律。
我在一本手册上看到:低SetPoint,往往会出现Artifact as Circles。我的同事告诉我
,in tapping mode,
SetPoint低时,作用在表面的力大。那么,作用力大为什么会产生Circle?有文献吗?
另外:我用Nanoscope5.12R 软件。我找不到SetPoint和最大电压 Maximum Cantilever
Oscillation Amplit
p********y
发帖数: 181
23
I am developing an alternating magnetic field (AMF) device to induce
hyperthermia in SPION of ~20nm size. The SPION is based on Fe3O4 (magnetite?)
Many papers demonstrated that AMF of 80--150KHz is effective for heating
SPIONs of smaller than 35nm, in tissue.
Many other works, particulaly theory-works, indicated that ~Mhz range should
be more effective for particles smaller than 20nm.
Is there any expert out there who could give me some suggestions for the AMF
frequency needed to maximize the he... 阅读全帖
H*******s
发帖数: 537
24
也就是三星和英特尔能做到20nm出货,台积电今年20nm明年16nm都能量产了,明年三星
有16nm么,明年intel也不过出14nm的Broadwell CPU。
http://news.mydrivers.com/1/286/286460.htm
s*****e
发帖数: 16824
25
我的说法是产20nm的肯定比台积电强,没产的不见得不比台积电强。因为台积电自己的
20nm也没出来。
H*******s
发帖数: 537
26
呵呵,你放心!台积电20nm的产品大家肯定会见到,但是其他家(非三星)的20nm产品
,我希望能看到。
f***y
发帖数: 4447
27
http://laoyaoba.com/ss6/html/08/n-568408.html
中芯国际为何率先量产28nm的骁龙410?
中芯国际是中国大陆最强的半导体代工企业,不过它在与台湾半导体代工厂和三星半导
体制造厂的竞争中一直都处于不利的地位。2014年在全球前五大半导体代 工厂中,中
芯国际和格罗方德是两家营收下滑的企业,而台积电、联电和三星的营收都是同比上升
的,其中一个原因正是中芯国际的工艺远远落后于另外四家企 业,28nm工艺量产拉近
了与全球第 二大代工厂联电的差距,对中芯国际无疑是强心剂。
为什么是28nm?
2013年中芯国际就宣布开发28nm工艺。28nm工艺有两个方向,一个是高介电常数金属闸
极(HKMG),一个是低功耗型的传统氮氧化硅(Sion),前一个技术难度要高很多。
HKMG技术是进入到28nm才开始引入的,使用这种技术可以能大幅减小栅极的漏电量,由
于high-k绝缘层的等效氧化物厚度(EOT:equivalent oxide thickness)较薄,这样晶
体管就能得到进一步的缩小,而管子的驱动能力也能得到有效的改善,因此28nm HKMG
技术... 阅读全帖
f***y
发帖数: 4447
28
中国微电子所在FinFET工艺上的突破有何意义?
雷锋网(公众号:雷锋网)按:SOI技术作为一种全介质隔离技术,可以用来替代硅衬底
。为何FinFET会成为主流,即便是掌握了22nm FD-SOI工艺的格罗方德还是购买了三星
的14nm FinFET技术授权呢?本文将会解析:新型FinFET逻辑器件工艺突破到底有什么
影响?
最近,中国微电子所集成电路先导工艺研发中心在下一代新型FinFET逻辑器件工艺研究
上取得重要进展。微电子所殷华湘研究员的课题组,利用低温低阻NiPt硅化物在新型
FOI FinFET上实现了全金属化源漏(MSD),能显著降低源漏寄生电阻,从而将N/PMOS
器件性能提高大约30倍,使得驱动性能达到了国际先进水平。
基于本研究成果的论文被2016年IEEE国际电子器件大会(IEDM)接收,并在IEDM的关键
分会场之一——硅基先导CMOS 工艺和制造技术(PMT)上,由张青竹做了学术报告。
那么,这个新型FinFET逻辑器件工艺是干啥用的呢?通俗的说就是下一用来制造CPU等逻
辑器件的工艺,举例来说,现在14/16nm芯片大多采用FinFET工艺,而这个新型Fin... 阅读全帖
t******e
发帖数: 2504
29
“这台光刻机为ASML的193nm浸润式光刻机,售价7200万美元,用于14nm~20nm工艺”.
不愧是宣传高手,对于自己的工作,厂房盖好都要锣鼓喧天,对于进口的机器,这款
1980Di, 人家ASML自己说是用作10nm node, NM, 长江存储说成是14-20nm, 这时,就
不吹了,要把手头的大炮,说成小米加步枪了,哈!
a*******g
发帖数: 3500
30
我真看到过。
20nm。。。。 可见光波长都好几百nm了,你知道20nm是什么尺度么
照你这么说,显微镜根本看不到filter上的孔了?
B*********e
发帖数: 909
31
hehe,
不是很清楚“20nm, 25nm”的具体所指,但有一点很清楚,the Fab cost is going
higher and higher. (Fab = 芯片工厂)
the cost of a fab has gone from a few tens of millions of dollars to around
$4-6B today.
there are two kinds of chips, i.e., memory and logic. when you say 20nm, i
think you meant for memory chips. the volume for memory such as DRAM / NAND
/ FLASH is huge and in this business, you can only be profitable if both of
your production volume and Yield are high enough. the competition in this
area is cruel and
D******e
发帖数: 11265
32
来自主题: Stock版 - 彻底亏肥了,转个文章吧
The reasons are simple.
1.) There wasn't any bad Intel news in the earnings report. There was the
same ole mantra about sluggishness in PC sales of course but we knew that.
And it's been baked in for six months now. For two quarters in a row, Wall
Street has projected huge misses and they just didn't happen.
2.) There was the red herring about excessive Capex, which is, of course,
not excessive. Otellini explained it but the analysts simply aren't smart
enough to understand. No surprise there. I... 阅读全帖
s*****e
发帖数: 16824
33
来自主题: Stock版 - iPhone 6 无法按期交付
看什么制程吧,28nm的很成熟了,20nm的是有可能出问题,台积电20nm不顺一直都有消
息的。
s*****e
发帖数: 16824
34
来自主题: Stock版 - iPhone 6 无法按期交付
iphone 6的A8芯片就是20nm制程,估计台积电现在全部的20nm产能都给了A8,所以要是
真出了问题,还真很难说。
d******1
发帖数: 349
35
来自主题: SanDiego版 - 高通的前途
腾讯数码
三星处理器Exynos 7420:14nm霸主地位难撼动
腾讯数码讯(编译:Mangofish)正如之前传闻所说的那样,三星在今年的新旗舰
Galaxy S6与Galaxy S6 Edge上弃用了高通骁龙810处理器,转而使用了自主开发的一颗
Exynos 7系列处理器——Exynos 7420,要知道它可是目前市面上唯一一款14nm移动处
理器,而这也标志着三星终于摆脱了在移动处理器上对高通的依赖。
Exynos 7420最引人注目的特性当属其14nm FinFET制造工艺,也将高通骁龙810的20nm
制造工艺远远甩在身后。FinFET是鳍式场效晶体管(Fin Field-Effect Transistor)
的简称,由于晶体管形状与鱼鳍相似固得名,更小的制程意味着在芯片内部能够集成更
多的晶体管,同时整体功耗也会有所下降,而密集的晶体管堆积所带来的漏电流问题也
被其独特的“鱼鳍”状电流闸门设计所解决。由此看来三星将Galaxy S6的电池电量从
Galaxy S5的2800mAh缩减为2600mAh并非不能理解。
Exynos 7420与Galaxy Note 4上的E... 阅读全帖
d******1
发帖数: 349
36
来自主题: SanDiego版 - 高通的前途
腾讯数码
三星处理器Exynos 7420:14nm霸主地位难撼动
腾讯数码讯(编译:Mangofish)正如之前传闻所说的那样,三星在今年的新旗舰
Galaxy S6与Galaxy S6 Edge上弃用了高通骁龙810处理器,转而使用了自主开发的一颗
Exynos 7系列处理器——Exynos 7420,要知道它可是目前市面上唯一一款14nm移动处
理器,而这也标志着三星终于摆脱了在移动处理器上对高通的依赖。
Exynos 7420最引人注目的特性当属其14nm FinFET制造工艺,也将高通骁龙810的20nm
制造工艺远远甩在身后。FinFET是鳍式场效晶体管(Fin Field-Effect Transistor)
的简称,由于晶体管形状与鱼鳍相似固得名,更小的制程意味着在芯片内部能够集成更
多的晶体管,同时整体功耗也会有所下降,而密集的晶体管堆积所带来的漏电流问题也
被其独特的“鱼鳍”状电流闸门设计所解决。由此看来三星将Galaxy S6的电池电量从
Galaxy S5的2800mAh缩减为2600mAh并非不能理解。
Exynos 7420与Galaxy Note 4上的E... 阅读全帖
f*******2
发帖数: 341
37
高通骁龙810为啥干不过三星Exynos 7420?
虽然一直以来高通霸占着高端的Android手机市场,但是现在最强的Android手机处理器
或许已经不再是高通的骁龙810。事实上,Galaxy S6和Galaxy S6 Edge上搭载的Exynos
7420才是Android平台上目前最强悍的产品,没有之一。
在以往的测试中,骁龙810几乎被Exynos 7420虐的找不着北,无论是CPU部分还是GPU部
分,Exynos 7420都能领先一大截。
事实上,仅从规格来看的话,骁龙810并不逊色于Exynos 7420,二者CPU部分的架构完
全相同,骁龙810的频率仅低了0.1GHz,出现这样的结果完全不合常理。另外,Andreno
430的理论性能也十分强悍,相比Exynos 7420内置的Mali-T760 MP8根本不逊色。
如果非要找到Exynos 7420领先的地方,那就是它的制造工艺了。三星采用自家的14nm
工艺制造Exynos 7420,而高通则只能使用台积电的20nm工艺,理论上前者的发热和功
耗都要比后者好一些,不信你看外媒的测试。
在测试中,外媒使用了Gal... 阅读全帖
t******e
发帖数: 2009
38
这位半导体资深人士估计同样的话每年都要重复一遍,数字换一下就行了。
ASIC这个样子已经很多年了,中小公司已经7788死得差不多了,consolidate也7788差
不多了。
新工艺tape out贵很正常,跟20nm,25nm没有关系,当年130、160甚至0.25,0.35刚出
来的时候
也都是很贵的,小公司也是负担不起的,负担不起不用就是了。startup出来都是用
fpga的,很少有
prototype还没出来就有VC投钱做ASIC的,不要说20nm,25nm,就是40nm,55nm都做不起
,90nm也
要跟流片。

25nm
r******y
发帖数: 3838
39
本周初,台积电Fab 14晶圆厂的第六阶段(Phase 6)工厂在台湾的南部科学工业园区内
正式破土动工,未来将用于率先生产16nm FinFET工艺的300毫米晶圆。 Fab 14 Phase
6预计需要两年的建设和装备时间,2014年底或者2015年初投入批量生产,不过在2013
年底,16nm工艺的风险性试生产就会开始。 根据此前消息,台积电的20nm工艺已经完
成了50款代工芯片的样品,有望在明年晚些时候投产,地点就在Fab 14,16nm则会在其
一年多之后就跟着到来。业内人士认为,20/16nm将成为台积电争夺苹果处理器订单的
最强有力武器。Fab 14 Phase 6/5两部分工厂的洁净室总面积也将达到8.7万平方米,
是典型300毫米晶圆厂的四倍多,更将成为台积电全球竞争力的核心力量。 Fab 14是继
Fab 12 Phase 1之后,台积电的第二座300毫米晶圆厂,2004年批量投产,现在有Phase
1/2/3/4四个部分正在运转中,每季度可生产55万块300毫米晶圆,全球无可匹敌,
2011年收入达62亿美元,占公司总收入的42%。台积电希望Phase 5/6加... 阅读全帖
c**i
发帖数: 6973
40
来自主题: Hardware版 - Finfet
John Markoff, To Enhance Chip Speed, Intel Enters Third Dimension. New York
Times, May 5, 2011.
http://www.nytimes.com/2011/05/05/science
/05chip.html?_r=1&scp=1&sq=INTEL%20dimension&st=cse
("Intel is on track for 22-nanometer manufacturing later this year" with the
Finfet 3-D design)
Note:
(a) Wall Street Journal today puts it "early 2012."
(b) David Manners, TSMC waiting till 20nm to introduce 3D transistors.
ElectronicsWeekly, May 5, 2011.
http://www.electronicsweekly.com/Articles/2011/05/05
... 阅读全帖
n******h
发帖数: 2544
41
说个AMD吧,也算抛块砖:
这个公司不可谓不老牌,产品也是一度十分高大上的CPU和显卡GPU。
从4-5年前,AMD陷入成立以来最苦的深渊。高端CPU被Intel完全击败0份额,低端也是
惨不忍睹,被Intel基本完全压制。
GPU市场份额从ATI时代的半壁江山,退化成2015年的不足20%。Nvidia去年能够大幅提
高业绩,一半要拜amd拱手相让所赐。
在20nm节点,猪一样的队友Global Foundry完全搞砸,整个20nm时代AMD几乎无产品可
卖。
展望2016,amd能否翻盘是一大悬念?
鄙人三大原因持保留观点:
1. amd研发经费大幅下降,研发人员流失严重。比如很多骨干流向了苹果公司。
2. amd寄予厚望的Zen构架,总架构师提前离职,虽然公司低调淡化,这个不可能是好
消息。
3. amd的队友GF日渐衰落,GF自身正在寻求买家脱离苦海,14nm吉凶未卜。
总体来看,2016年是所谓翻身年,在工艺进化步调放缓的今天,后来者不乏追上intel
的机会,能不能把握住,就看一贯fumble的amd执行如何了。
1 2 3 4 5 6 7 下页 末页 (共7页)