由买买提看人间百态

topics

全部话题 - 话题: clk
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)
T******T
发帖数: 3066
1
来自主题: EE版 - 请教两个synchronizer的问题
For relatively static(not cycle active) databus synchronization from
fast - slow clock domain, besides using async FIFO (overkill in this
case), you can also use 1) req/ack mechanism, 2) pulse/toggle
synchronizer
CLK A (fast), CLK B (slow), sync request signal to Shepherd the databus
across the clock domain.
1) update/hold databus, issue req (A) -> Dual FF Sync -> req_sync(B)
then safely latch in the databus from A domain. Ack(B) -> Dual FF Sync
-> ack_sync (A), then clear the request.
2) upda... 阅读全帖
w*********s
发帖数: 277
2
来自主题: EE版 - systemverilog assertion问题
property xxx;
@(posedge clk) a ##1 b;
endproperty : xxx
property yyy;
@(posedge clk) $rose(a) ##1 $rose(b);
endproperty : yyy
请问什么时候用edge detection的function such as $rose $fell
什么时候用level detection呢?
貌似两者sampling的机制都是一样的。
初学者,目前分不清楚他们之间的区别,请高手指教!
包子伺候!
谢谢!
h*****4
发帖数: 4219
3
来自主题: EE版 - D flip flop
新学数字电路,想把一块没有LD输入的D触发器改装成带有LD的触发器 手里有三个高
低电平开关 CLK肯定还是要接CLK 现在就是D输入和LD输入应该怎么接
我试过用tri state buffer 和inverter 来控制让哪个信号流入D 不过老师说不用tri
state buffer
版上各位高手有没有什么建议?
a*****8
发帖数: 261
4
来自主题: EE版 - verilog 的问题
请问下面两个always process的不同:
第一个process,有 #1 delay:
always @(posedge clk or negedge rst_n) begin
if (~rst_n)
dout <= #1 12'h0;
else
dout <= #1 din;
end
第二个process, 没有 #1 delay:
always @(posedge clk or negedge rst_n) begin
if (~rst_n)
dout <= #1 12'h0;
else
dout <= #1 din;
end
谢谢。
r***6
发帖数: 401
5
来自主题: Archery版 - first time bowhunting, too bad a gutshot
Opening day in Illinois for deer archery. Pistol Leo and I got to the public
hunting place at 5am. Unfortunately the warden didn't open the gate till 6:
15am. We joked that probably it was because of the government shutdown.
I was first to enter the field. Went to the same hill slope where I shot two
deer last year. The woods were dense. Maximum visibility was about 30yd.
Waited for over 30min and not much going on even with some deer calls. I
decided to spot and stalk to increase chances of enc... 阅读全帖
c*********r
发帖数: 19468
6
来自主题: _Auto_Fans版 - 突然发现
MB最近很折腾啊,好好的下代CLK,不叫CLK,叫E Coupe,其实叫C Coupe还更靠谱些
听说CL要小改款,样子改动到不见得大,名字却变化不小,叫S Coupe
这些动作的背后是啥动机,谁给分析下?
c*********r
发帖数: 19468
7
来自主题: _Auto_Fans版 - 突然发现
问题就在这里,新E Coupe/Cabriolet其实和E Sedan/Estate不是同一个平台
而是和C-Class一个平台的,但是外貌又做成和E一样
按以前来说,CLK应该是介于C和E之间的价位,但是改叫E Coupe,那么肯定就比E
Sedan高
MB真是不厚道啊……
这样的整容手法倒也不是头一回,新C上市后,没有传统C Coupe车型,搞了个单独的
CLC
和新C的外貌浑然一体,可实际上还是沿用老C的平台,就是老C Coupe小改款而已
结果弄得像个新车似的,不厚道……
CLK改名E Coupe的副产物还可能包括:
E Sedan/Wagon/Coupe/Cabriolet一起算销量,北美销量一举反超5系……
至于CL改名S Coupe,应该属于配套工程,同样也有良性副产物:
北美销量有望反超Lexus LS,呵呵

么一点
b******n
发帖数: 1269
8
来自主题: _Auto_Fans版 - 突然发现
是啊。CLK本身就是一黑车。
据我一个在埃姆币工作的哥们说,CLK 用 C 的底盘,比 C 造价低 (因为少座位,少
门)结果卖的还贵一些。
现在换个 E 的名字,就是要把不厚道进行到底阿。
g*******e
发帖数: 937
9
来自主题: _Auto_Fans版 - 突然发现
haaa...
MB和BMW都不厚道。
CLK就是用来装B的。你看开个C就是比CLK土不少了:D 装B要付代价的。
c*********r
发帖数: 19468
10
来自主题: _Auto_Fans版 - 奔驰盲问个弱问题
CLK整个车系都没了,CLK63 Black自然也没了啊
实际上CLK是被C Coupe和E Coupe/Cabriolet两个车系取代了
C63 Coupe Black可以看作CLK63 Black的非直接继承者
至于E Coupe,至今还没有E63 Coupe出现,自然还谈不上Black
不过今后会不会有就说不准了
c*********r
发帖数: 19468
11
来自主题: _Auto_Fans版 - 300km/h俱乐部
总结了下2000年以来官方标称极速能够进入300km/h俱乐部的车,按品牌顺序排列如下:
Aston Martin V8 Vantage S
Aston Martin V8 Vantage S Roadster
Aston Martin V12 Vantage
Aston Martin DB9 (450hp)
Aston Martin DB9 Volante (450hp)
Aston Martin DB9 (470hp)
Aston Martin DB9 Volante (470hp)
Aston Martin V12 Vanquish
Aston Martin V12 Vanquish S
Aston Martin DBS (6MT)
Aston Martin DBS Volante (6MT)
Aston Martin V12 Zagato
Aston Martin One-77
Audi RS 6 (C6, w/plus Sport or plus Exclusive package)
Audi RS 6 Avant (C6, w/plus Sport or plus Excl... 阅读全帖
V******k
发帖数: 820
12
来自主题: _Auto_Fans版 - 提车归来
E couple 是因为CLK而存在的..有了E couple CLK就停了..C couple是为了抢两门的年
青市场..为了BMW 3 series couple 和 Audi 5 series 存在的..不同target..
c*********r
发帖数: 19468
13
很搞的是,原本是MB的把戏,C Coupe搞成CLK,S Coupe搞成CL,现在BMW、Audi都这么
玩了,MB倒要合并车系了。CLK已经分解回C Coupe、E Coupe了,下代CL也要改称S
Coupe……
p*********e
发帖数: 32207
14
来自主题: _Auto_Fans版 - 335 or 428?
不过mb的做法也很有意思
先把clk砍掉,改名e coupe,这样就justify了这个车比C sedan更高的售价,
可以把原本的clk卖到E的价格
回头再添加一个c coupe,去提供一个更小size更便宜的coupe来吃细分市场
最后相当于C和E之间出了4款车,比另外两家的3,4,5或者A456还多一个呢
然后bmw又搞了2系...
i****x
发帖数: 17565
15
嗯没错想起来了,就是大蛇Orochi。
countach, f40都是那个时代的风格,楔形重工业硬线条,虽然我也不喜欢70-80年代那
这种造型,但跟同时代相比他俩还算还好的了吧,同时也是这种风格的领军款型。
CLK GTR那是真丑。。。看起来就像一个小孩拿到个CLK车模,然后用泥巴把车加长加宽
50%的结果。
FGT我觉得很漂亮啊,复古的恰到好处,完全是50年代造型风格。
总之,70年代后期到90初期,大批车都奇丑,不知道当时设计师们都在搞些什么。。。
比如:73年的240z
83年的300z。。。
65年的野马
到了85年。。。
B*******n
发帖数: 562
16
来自主题: _pennystock版 - 谁来介绍一下option house,有包子
俺也觉得 小账号玩 option挺好。
实时的option chain。
俺一直给他做广告呢。
http://www.mitbbs.com/article_t0/Stock/33337067.html
推荐optionhouse:
http://oh.tellapal.com/a/clk/sX4PM
commission $3.95 for stock and $5 for option,100个free trade,minimum
deposit:$2000
发信人: BlueRobin (花花), 信区: Stock
标 题: 机会就在眼前,赶紧开户吧
发信站: BBS 未名空间站 (Wed Mar 2 16:33:01 2011, 美东)
美国经济着实会越来越好,以后美国国内的好消息会越来越多。
股市处于关键期,后市看涨的话,很多股票的形态和入点已经很好啊。
跌的话就更是好机会了。
推荐 optionhouse
http://oh.tellapal.com/a/clk/sX4PM
commission fee 3.95,100个free trade,minimum depos... 阅读全帖
B*******n
发帖数: 562
17
来自主题: _pennystock版 - 谁来介绍一下option house,有包子
俺也觉得 小账号玩 option挺好。
实时的option chain。
俺一直给他做广告呢。
http://www.mitbbs.com/article_t0/Stock/33337067.html
推荐optionhouse:
http://oh.tellapal.com/a/clk/sX4PM
commission $3.95 for stock and $5 for option,100个free trade,minimum
deposit:$2000
发信人: BlueRobin (花花), 信区: Stock
标 题: 机会就在眼前,赶紧开户吧
发信站: BBS 未名空间站 (Wed Mar 2 16:33:01 2011, 美东)
美国经济着实会越来越好,以后美国国内的好消息会越来越多。
股市处于关键期,后市看涨的话,很多股票的形态和入点已经很好啊。
跌的话就更是好机会了。
推荐 optionhouse
http://oh.tellapal.com/a/clk/sX4PM
commission fee 3.95,100个free trade,minimum depos... 阅读全帖
c*****2
发帖数: 899
18
http://zh.wikipedia.org/wiki/%E4%B8%AD%E5%9B%BD%E8%AF%AD%E8%A8%
汉藏语系、苗瑶语系、壮侗语系[编辑]
主条目:汉藏语系和汉藏语列表
漢語族[编辑]
主条目:汉语方言、汉语方言列表和漢語族
官話
現代標準漢語(包括汉族在内的各民族都使用,汉语的普通话是中国的官方语言)
北京官话
东北官话
冀鲁官话
胶辽官话
中原官话
兰银官话
江淮官话
西南官话
東干語
吳語
吴语
徽語
粵語
粤语
廣西平話
閩語
閩南語
閩東語
閩中語
莆仙話
閩北語
海南話
臺灣話
客家語
贛語
湘語
晉語
藏缅语族[编辑]
主条目:藏缅语族
缅语支[编辑]
阿昌语(阿昌族)
波拉语(景颇族)
浪速语(景颇族)
勒期语(景颇族)
仙岛语(景颇族)
载瓦语(景颇族)
彝语支[编辑]
毕苏语(毕苏人,部分归入拉祜族)
哈尼语(哈尼族)
基诺语(基诺族)
拉祜语(拉祜族)
傈僳语(傈僳族)
末昂语(云南彝族)
怒苏语(怒族)
柔若语(怒族)
桑孔语(哈尼族)
堂郎语(纳西族)
彝语(彝族) 诺苏语(四川彝族)
撒尼语(云南彝族)
等等
藏语群[编辑]
白马语... 阅读全帖
y*********u
发帖数: 2014
19
中国人一个月吃多少头猪?
百度答案:一天吃500万公斤.天涯答案:一天吃6000万公斤.按少的500万公斤=1000万斤
算好了:13亿人,相当于1天只吃0.07两.1个人一周只吃半两猪肉.
1头猪假设100公斤=200斤,连皮带骨头都能吃好了,那么一天一天吃500万公斤,要吃掉5
万头猪,一月吃掉5x30=150万头猪.
一个人一周只吃半两猪肉的前提下:
1个月光吃猪都要150万头,1年中国人就要吃1800万头猪.
牛奶,鱼,虾,菜,油,等等,中国农民都是小做坊生产,哪来那么多奶牛给你挤奶喝,那有那
么多东西够中国人吃的.
不做假能行吗?
http://zhidao.baidu.com/question/36291435.html?fr=qrl
http://wenda.tianya.cn/wenda/thread?tid=3a401823dfd73dc5&clk=wttpcts
y*********u
发帖数: 2014
20
中国人一年要吃多少头猪? ----我算了算,哪怕再少也要1800万头!
牛奶,鱼,虾,菜,油,等等,中国农民都是小做坊生产,哪来那么多奶牛给你挤奶喝,那有那
么多东西够中国人吃的.
不搞地沟油,不三聚氢胺做假能行吗?
1.先看看一天吃多少猪肉:
百度答案:一天吃500万公斤.
天涯答案:一天吃6000万公斤.
reference(只是数字大家可以跳过):
http://zhidao.baidu.com/question/36291435.html?fr=qrl
http://wenda.tianya.cn/wenda/thread?tid=3a401823dfd73dc5&clk=wttpcts
咱们按少的500万公斤=1000万斤算好了.
2. 全国13亿人,相当于1天只吃1000万斤/13亿=0.007斤.1个人一周0.007x7=0.049斤差
不多=只吃半两猪肉.
假设穷人一口都不吃,全国只有5亿人吃猪肉,那么1个人一天也只吃1000万斤/5亿=0.02
斤,非穷人1周0.02x7=1两半猪肉.
也就是说,按百度少的估计模型,哪怕全国1/3的人一口都不吃,剩下2/3人一周也只吃
s********n
发帖数: 26222
l*x
发帖数: 891
22
来自主题: Military版 - YST-漫谈香港
台湾网友YST《漫谈香港》(转载)
前言
去年香港回归中国十周年的时候,一些香蕉香港人对回归做出很多负面反应。
有感而发,于是写了一篇「香港人」( 2007/07/06 )。
一个月前,这篇老文章又被读者旧话重提因而自动上升到首页。由于讨论非常热烈
,阅览数由原来的六、七千迅速上升到超过两万,YST 没有参加这些讨论。
经过一个月的沉淀,YST 认为有重返香港话题的必要,但是这次我要从另一个角度
来看香港。
上一次谈论香港,YST 集中精力谈香港的人,谈香港人在政治上对中国的认同与挑
衅。
这一次谈论香港,YST 要集中精力谈香港的地理环境,谈地理环境使香港人在经济
上对中国形成的依赖与拖累。
无论政治还是经济都是人的活动,所以主角都是人。解决香港问题最大的障碍就是
香港人。香港人至少有四分之一是香蕉华人,这可不是“ 一小撮 ” ,他们的影响绝
对不能忽视。25 %的香蕉华人所造成的杂音足以造成舆论上的风波, 25 %的香蕉华
人反对中国的活动可以直接影响香港特区政府的稳定性。这一点英国人是非常清楚的。
香港人受英国殖民的影响,所以在政治上对中国的认同度不高,因而在经济上对中
国需索无... 阅读全帖
s**********r
发帖数: 497
23
来自主题: Military版 - 酒酣听青岛市政官员桌上开侃
曾有一则网帖广为流传:青岛的城建人员在整修德式下水道时发现有零件损坏,到
处找不到合适的,最后求助于德方,结果对方很快回复说,不用担心,在那个损坏的零
件周围三米范围内,肯定有个地方藏有备件,工程人员细心查找,果然在附近一个小箱
子里找到了油纸包着的零件,拆开看还锃光瓦亮呢。
以下一段为《半岛都市报》记者余衍飞对此假消息的辟谣:
“ 初见这个帖子,我们激动万分,马上联系相关部门准备采访,幸亏跑口记者及
时提醒,这是个谣言。原来,当年德国人建的排污管道是水泥的,为了防腐蚀下半部分
则在水泥上贴了层瓷瓦,根本不需要什么所谓的零件。另外,如今德国人留下的设施早
已无影无踪,几年前被全部拆掉实现了更新……”
http://qnjz.dzwww.com/xwcz/201103/t20110324_6257119.htm
谣言虽已破,吐槽可不少:
油纸包中的德国
德国人来青岛旅游突发肾衰竭,医院找不到肾脏不知所措,这时侯有人说这不是德
占时期的医院么,可以问问德国人,结果一个电话打过去,德国人是说我们既然造了医
院肯定有准备,结果在德国人的指引下找到了用油纸包好的肾,历时八十多年没坏,德
国旅客换... 阅读全帖
s**********r
发帖数: 497
24
德国人来青岛旅游突发肾衰竭,医院找不到肾脏不知所措,这时侯有人说这不是德占时
期的医院么,可以问问德国人,结果一个电话打过去,德国人是说我们既然造了医院肯
定有准备,结果在德国人的指引下找到了用油纸包好的肾,历时八十多年没坏,德国旅
客换上以后转危为安,大家纷纷叹服德国人的严谨。
===========================================================
我朋友买了二手老款A6开去西藏,半路上发动机坏了,打电话过去德国人说既然我
们造了车肯定是有准备的,我朋友按照德国人的指引在路边挖出了一辆用油纸包好的12
款A6历时八十多年没坏,大家纷纷叹服德国人的严谨。
===========================================================
德国人来青岛旅游突然想吃家乡菜了,餐馆找不到香肠不知所措,这时侯有人说这
不是德占时期的餐馆么,可以问问德国人,结果一个电话打过去,德国人是说我们既然
造了餐馆肯定有准备,结果在德国人的指引下找到了用油纸包好的香肠,历时八十多年
没坏,德国旅客吃了后非常高兴,大家纷纷叹... 阅读全帖

发帖数: 1
l****z
发帖数: 29846
26
来自主题: USANews版 - HILLARY: UP TO HER OLD TRICKS
By DICK MORRIS
Published on TheHill.com on November 30, 2010
The Wikileaks documents show that Secretary of State Hillary Rodham Clinton
has, as Voltaire said about the Bourbon kings of France, learned nothing and
forgotten nothing. Her request that American diplomats at foreign postings
and the United Nations gather personal data about their foreign counterparts
is eerily reminiscent of her use of private detectives to unearth negative
information on those who were politically inconvenient duri... 阅读全帖
w*p
发帖数: 28
27
型号 组装地:
MAZDA
B-Series Edison, NJ
Millenia Hofu, Japan
MPV Hiroshima, Japan
MX-5 Miata Hiroshima, Japan
Protegé Hiroshima, Japan
626 Flat Rock, MI
Tribute Kansas City, MO
MERCEDES-BENZ
C-Class Bremen, Germany
CL-Class Sindelfingen, Germany
CLK-Class Bremen, Germany
E-Class Sindelfingen, Germany
G-Class Graz, Austria
M-Class Vance, AL
S-Class Sindelfingen, Germany
SL-Class Bremen, Germany
SLK-Class Bremen, Germany
MERCURY
Cougar
p******r
发帖数: 6213
28
来自主题: Automobile版 - 三万刀以内最安全实用的车是???
mini cooper很拉风,bimmer 3和mercedes clk旧车也可以拉一拉风。
p*********e
发帖数: 32207
29
来自主题: Automobile版 - 正在纠结奔驰还是宝马
E COUPE其实是CLK的后代啊,不太适合跟6系比.
其实就是错位在3 coupe跟6之间的.
p********1
发帖数: 15
30
来自主题: Automobile版 - mm想买辆敞篷车,求推荐
benz clk
R*****y
发帖数: 1325
31
来自主题: Automobile版 - mm想买辆敞篷车,求推荐
Boxter挺好的
或者1系的敞篷
或者CPO的 CLK, SLK 甚至SL都行
再或者老一点的法拉利,呵呵

的车
y*****x
发帖数: 3291
32
its' a old CLK, I like it very much
d*******h
发帖数: 499
33
来自主题: Automobile版 - 试开Q5
Because many people don't like MB, include me. Plus, US NEWS rate Q5 to be
#1 and CLK #4!
v*****t
发帖数: 126
34
打算买家中的第2辆车,平时就用来买买菜逛街什么的.喔,没有宝宝.
喜欢不那么苯重好看点的,两门也可以.不过这儿多山路,就不考虑跑车了,敞篷也不喜欢
.目前知道的有MBW M3, 128i,mercedes 好像cls 还是clk 符合要求?
btw,打算买二手的(<2004),大家给意见也欢迎把年份考虑进去,多谢~
i****a
发帖数: 36252
35
"不考虑跑车"
"MBW M3, 128i,mercedes 好像cls 还是clk 符合要求"
hum...
w*******a
发帖数: 2409
36
cpo 3 coupe/6 mb clk
w*******a
发帖数: 2409
37
that's a 2 door, looks like merc, but most likely a clk something
o****e
发帖数: 536
38
Sep 17th, 2007, 20:40
原作者:Outthere
前两天看了一些贴子,其中有许多有关车和车,日本品牌和美国品牌,欧洲品牌车的争
论和比较。发现其中许多对比和比较很盲目。很多不具有可比价性,比如说Car 和SUV
那个安全。发现很多人同我以前刚来U.S.时一样, 对车的认识大多都停留在表面上。
在选车对比之前,我想大家应该对不同的车有个大概的了解。这也是我写此文的目的。
希望对于刚来美国并准备选车的人,能有所启发和期到拓展视野的作用。首先声明,本
人未在任何和汽车有关的行业工作,仅出于个人爱好。有不完善之处,希望各位参与讨
论并给于补充。
初到北美时,除了对国内的夏利,桑塔那VW, Audi, Toyota,Cherokee等有点印象外(
当然还有BMW, BENZ等,不过没有机会尝试)。对其它种类的车毫无了解。和很多人一
样,别人讲那一种车好, 自己于是就认为它好;别人说那种车不好, 知己也认为它不
好。 后来才发现其实自己对北美的汽车行业和市场完全缺乏认知。第一天走在大街上
, 发现国外的车真是千奇百怪,什么样的都有,你很难找到一模一样的车一前一后同
时开来。
由... 阅读全帖
y*****2
发帖数: 223
39
来自主题: Automobile版 - 在advance auto parts买东西40% off
I found a great deal on the exact part I needed for my car at Advance Auto
Parts. You should check them out. Here's an exclusive offer to get over 40%
off your first order since I referred you.
请点击这个链接http://advanceautoparts.tellapal.com/a/clk/HsV89
R*****y
发帖数: 1325
40
干吗不买个CLK什么的
开着也爽

S***I
发帖数: 2737
41
LD前年換車的時候,試了Benz, 也試了3和5。被3 的0.6s 加速震撼到了,可是在我們
的省公路上,顛得那叫一個屁股疼啊,想想每天要開100miles 來回,放棄了,選了本

前一陣LD 摔斷了腿,搞了張殘趴。我們去Bloomingdale吃晚飯,6個殘趴位上停了4輛
Benz, CLK, SLK, E, C。 那叫一個沒面子啊!
Benz唯一好處在我看來,80MPH 以上聽不到引擎聲音。

喜欢操控。不过发贴的同学,一
l*********b
发帖数: 37
42
先感谢车版的所有人来分享信息。
特别感谢那个整理"买车跟dealer砍价"帖子的作者,这次我真的用上了,谢谢!
下面就说说买车的过程:
老车是04'ford taurus se,已经136k了,虽然一直没有问题,但是还是想换一部新车。
南加州,预算35k.
开始选车的时候,迷茫了很久,在suv vs. sedan之间。后来终于定下来还是买部sedan
。虽然很喜欢heavy suv,但是现在自己还真用不上,等以后成家了再说吧。
定下sedan之后,就开始犹豫是买used?还是brand new? 因为预算足够,所以要是used
,就可以买到2,3年新的335,528,535,还有clk之类的。之后朋友建议我还是买新车
,她的b开头的车都是小毛病不断。所以,ok,定下是新车。
之后自己选出来3款sedans:11'subaru outback/legacy vs. 11' nissian altima s
vs. 11' buick regal turbo.在来回比较之后,觉得regal的性价比最高,base就是皮
椅加热,蓝牙了,而且正好有 0apr的活动,到10月低。
先在tru... 阅读全帖
g*******1
发帖数: 2799
43
还有G
SLS/SLR
CLS
CLK
SLK....
n******t
发帖数: 4406
44
来自主题: Automobile版 - 大众和AUDI的野心
MB一样。。。
C, E, S, cls, cl, sls, clk, sl, 每个还有amg.
g******6
发帖数: 772
45
本人新手小白,说实话挺不懂车的,就是看价格觉得,3款车差异不大,但是Z4在明显
量少于M记。是不是因为Z4不好
c*******a
发帖数: 203
46
Z4 competes with SLK, so you are looking at the correct segment.
Go test drive them, they are very different.
I would personally pick SLK, it's newer and looks much better in both
interior and exterior. When you pick a convertible, looks are more important
to you than performance I guess.
W***i
发帖数: 9134
47
z4不是跑车吧?
g******6
发帖数: 772
48
Yep, you r right. I focus on the appearance. Have ride on 08 z4 b4, the
newer model is great. But one thing confuses me a lot, 开宝马坐奔驰 makes
any sense?

Z4 competes with SLK, so you are looking at the correct segment.Go test
drive them, they........
★ Sent from iPhone App: iReader Mitbbs 7.38 - iPad Lite
g******6
发帖数: 772
49
算是sport型的

z4不是跑车吧?
★ Sent from iPhone App: iReader Mitbbs 7.38 - iPad Lite
c*******a
发帖数: 203
50
It's true that MB flagship is S class (luxury) while BMW flagship is M3 (
sporty).
But for anyone shopping for a car, I don't think 开宝马坐奔驰 makes a lot of sense.
BMW and MB have direct competitions in almost all segment, from ultra-luxury
to ultra-sporty, and you should feel free to pick whichever you like better.
首页 上页 1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)